CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - plate

搜索资源列表

  1. vhdl_vga

    0下载:
  2. 彩条信号发生器使用说明 使用模块有:VGA接口、脉冲沿模块、时钟源模块。 使用步骤: 1. 打开电源+5V 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 将彩色显示器的线与VGA接口连接好。 5. 彩条信号就可以在显示器中产生,通过脉冲沿模块按键MS1可以改变产生彩条的 -color of the signal generator for use with the use of modules : V
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95920
    • 提供者:刘浪
  1. vhdl_LED

    0下载:
  2. 点阵显示实验示例使用说明 使用模块有:时钟源模块、点阵显示模块,脉冲沿模块。 使用步骤: 1. 打开电源+5V。 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载 4. 脉冲沿模块的按键MS1为复位清零键,灯灭时有效,点阵块上会显示汉字。 -lattice experimental use of the use of sample modules : clock source modules, dot-matri
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:334063
    • 提供者:刘浪
  1. ByteBlasterii

    0下载:
  2. altera PLC/FPGA编程,ByteBlasterii原理,我按此原理做的板很好用。-ALTERA PLC / FPGA programming, ByteBlasterii principle, I do accordingly tenets of the plate is useful.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:203300
    • 提供者:johndrf
  1. EDAchuzuchejijia

    0下载:
  2. 在本示例程序中,用VHDL语言实现了出租车的记价功能,在Maxplus2环境下编写,可通过cpld下载板来验证程序。在压缩包中附有示例的目的,方法和仿真时序图,是学习VHDL好例子。-in this sample program, using VHDL of the entry price of a taxi function, in preparation FLEX10K environment, through cpld download plate to the verification
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:339949
    • 提供者:bkd
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. FPGA_led_test_1c6

    0下载:
  2. 这是1C6实验板的一个实验程序,其用的组件为LED组件。对大家初步掌握FPGA有帮助-1C6 This is an experimental plate experimental procedure, with the components of LED components. Members of the preliminary master FPGA help
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:97402
    • 提供者:进老夺
  1. EP2C20_TEST.rar

    1下载:
  2. 内含无刷电机驱动VHDL模块,读码盘4倍频模块,并用NIOS核实现简单无刷电机闭环控制。,Brushless motor driver includes VHDL modules, reading frequency module plate 4, and nuclear NIOS simple closed-loop control of brushless motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:15531593
    • 提供者:王少鸷
  1. BJ-EPM240_study_guide_plate

    0下载:
  2. BJ-EPM240V2实验例程以及说明文档实验之BJ-EPM240学习板使用指南-BJ-EPM240V2 experimental test routines as well as documentation of the BJ-EPM240 study guide plate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2181987
    • 提供者:王建毅
  1. baheyouxiji

    0下载:
  2. 拔河游戏机, 1、 设计一个能进行拔河游戏的电路。 2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。 3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。 4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。 5、 用数码管显示获胜者的盘数。教学提示: 1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。 2、 用可逆计数器的加
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:6109
    • 提供者:kxsh
  1. PWMforvoltageregulator

    0下载:
  2. pulse width modulation controlled for 8-bit antrada give us a total of 255 possibilities. The continuous signal output will therefore be a value between the maximum and minimum voltage of the plate where you download the code, depending on these 255
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1277
    • 提供者:defghia
  1. DE0_Default

    0下载:
  2. 友晶公司开发板DE0板载资源的演示程序,新手可以用来看看Verilog语言语法示例-Friends of the crystal plate DE0 onboard resources, developed a demonstration program, novice Verilog language syntax can be used to look at an example
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4537831
    • 提供者:刘艺
  1. XtreamWay-update

    0下载:
  2. 本次只需要升级交换板SF2300上的软件版本,升级完成并重启后SF2300会自动加载更新后的应用承载板AC2240软件 在SF2300的应用维护模式appadmin下更新xway_om.zip, 在SF2300的管理维护模式OMadmin下更新xway_node.zip, xw_rpc.tar.gz是用于x86刀片服务器对FPGA卡进行RPC调用的软件包 软件更新方法、XtreamWay交换协议、FPGA远程调用方法等可参考附件中的说明文档 -
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-12
    • 文件大小:19474470
    • 提供者:BRN
  1. lab1

    0下载:
  2. xilinx官网edk实验,lab1,用nexys 2 板实验源代码-xilinx edk official website experiments, lab1, with nexys 2 plate test source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4734050
    • 提供者:youzhiliu
  1. lab2

    0下载:
  2. xilinx官网edk实验,lab2,用nexys 2 板实验源代码-xilinx edk official website experiments, lab2, with nexys 2 plate test source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5140754
    • 提供者:youzhiliu
  1. VHDL-Responder-Course-Design

    0下载:
  2. 开始键按下后,8个进度指示灯依次点亮,之后开始抢答。4个按键开关代表4个抢答键,由数码管显示最先按下的开关序号,表示此号码抢答成功。若在进度灯全亮之前有任意键被按下,则表示有人犯规!系统结构描述:此系统共包括4个板块,分别是输入板块、计数器板块、数码显示器板块、判断板块,各功能组合一起构成一个完整的抢答器。-Start key is pressed, 8 progress lights were lit, and then answer in the beginning. 4 key switc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:374029
    • 提供者:竹下寺宁
  1. tft_lcd

    0下载:
  2. 用verilog写的TFT液晶驱动程序,本程序经下载到开饭板后,能正常运行-Verilog write with TFT LCD driver, this program downloaded to the have dinner plate, can normal operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-10
    • 文件大小:4426752
    • 提供者:jin
  1. VHDLPWM

    0下载:
  2. fpga输出pwm的vhdl程序,已经过开发板试验,绝对可用,包括所有文件。-fpga vhdl output pwm' s program has been developed plate test, absolutely free.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:451637
    • 提供者:zhouhengjun
  1. Based-on-FPGA-and-DSP-lpr

    0下载:
  2. 基于FPGA和DSP的车牌识别系统的硬件设计与实现-Based on FPGA and DSP license plate identification system hardware design and implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1656555
    • 提供者:sunbo
  1. SGvga

    1下载:
  2. 基于System Generator 实现Xilinx FGPA的VGA显示模块,板块Nexys™ 3 Spartan-6 FPGA Board,可以直接把.bit文件下进去进行。 具体说明可以参考本人博客:http://www.openhw.org/wenlong0601/blog/12-03/239390_f7ef3.html-Based on the System Generator Xilinx FGPA VGA display module, the plate Nexy
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1231940
    • 提供者:张文龙
  1. DE2_115_NIOS_DEVICE_LED

    0下载:
  2. 基于NIOS开发环境下的LED灯综合程序,搭配使用QT2,开饭板为DE2-115-LED lights integrated program based on the NIOS development environment with QT1 dinner plate for the DE2-115
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5187167
    • 提供者:杨平平
« 12 »
搜珍网 www.dssz.com