CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - test

搜索资源列表

  1. RLC Test

    0下载:
  2. RLC Test程序,一个电子竞赛的题目。里面有详尽的源代码。-RLC Test procedures, an electronic race issue. There are detailed source code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:635788
    • 提供者:林玉儿
  1. booth.rar

    0下载:
  2. 一个基于VerilogHDL语言的16位的booth算法的乘法器及其测试代码,VerilogHDL language based on the 16-bit multiplier of the booth algorithm and test code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1327
    • 提供者:lixiang
  1. test

    0下载:
  2. Spartan-3e LED测试代码, 用SW0进行开关控制-Spartan-3e LED test code, the switch SW0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1713
    • 提供者:Kan Xiao
  1. usb_phy.tar

    1下载:
  2. Very simple USB 1.1 PHY. Includes all the goodies: serial/parallel conversion, bit stuffing/unstuffing, NRZI encoding decoding. Uses a simplified UTMI interface. Currently doesn t do any error checking in the RX section [should probably check f
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:7381
    • 提供者:eldis
  1. VHDL-test-codeBooth-multiplier

    0下载:
  2. VHDL实验代码:Booth乘法器,是一个基于VHDL语言开发的程序,非常的实用-VHDL test code: Booth multiplier, is a VHDL-based language development program, a very practical
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:1430
    • 提供者:Johonson
  1. TLC5510_IIPRAM1

    0下载:
  2. FPGA控制双口RAM、实现TLC5510采样控制双口RAM读写!QUARTUS II8.0平台仿真验证通过,并在硬件上运行通过测试!-FPGA control of dual-port RAM, the realization of sampled-data control TLC5510 dual-port RAM read and write! QUARTUS II8.0 platform through simulation and hardware to run through the
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3439107
    • 提供者:wangzhaohui
  1. EDA-test-3

    0下载:
  2. 大学EDA实验的一些代码 都可以完美运行-University of EDA test some of the code works perfect
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:168151
    • 提供者:yalinlee
  1. I2C_test

    0下载:
  2. FPGA EP2C5Q288C8 I2C 原码,测试OK 打开即用.-FPGA EP2C5Q288C8 I2C original code, test that is used to open OK.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:831994
    • 提供者:kenychen
  1. dl2c58_c5

    0下载:
  2. FPGA EP2C5Q288C8 TEST 原码,测试OK 打开即用.-FPGA EP2C5Q288C8 TEST original code, test that is used to open OK.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1100242
    • 提供者:kenychen
  1. TestFixture

    0下载:
  2. I2C 控制器的 Verilog测试源程序-I2C controller Verilog source test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:9405
    • 提供者:杜丽丽
  1. can.tar

    0下载:
  2. can控制器IP核,verilog语言描述实现。含测试例-can controller IP core, verilog language described realize. Containing the test cases
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:53901
    • 提供者:yu
  1. FPGA-SRAMt-test

    0下载:
  2. 测试型号为EP2C5Q208C8的FPGA的RAM是否正常,按提示操作,并显示每步的测试结果-EP2C5Q208C8 test models for the FPGA' s RAM and whether it is normal, according to prompts, and display each step of the test results
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:2518
    • 提供者:冀少威
  1. spi2-testbench

    0下载:
  2. test bench for spi communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:966
    • 提供者:Onur
  1. A_bit_serial_data_transmitter

    0下载:
  2. 比特序列传送模块 把输入的八位比特数据 做循环后每个比特输出 详细请看英文描述-• To create Verilog-HDL modules written in the RTL style appropriate for both simulation and synthesis, for the various component parts of an Asynchronous Serial Data Transmitter. • To verify th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1725
    • 提供者:吴德昊
  1. multiplier_8_bit

    0下载:
  2. This is 8bit multiplier VHDL code. It s consist of full adder, ripple carry adder(4bit, 8bit) multiplier 8bit, and test bench file. This is a unsigned type.-This is 8bit multiplier VHDL code. It s consist of full adder, ripple carry adder(4bit, 8bit)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3494
    • 提供者:KC.Park
  1. RISC

    0下载:
  2. source and benchmark test for the registery parts of a RISC processor-source and benchmark test for the registery parts of a RISC processor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:158610
    • 提供者:radproject
  1. src

    0下载:
  2. i2c module. i test it on Altera FPGA.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2675
    • 提供者:almondeo
  1. Spartan3VGATest

    0下载:
  2. This VGA test will draw a single color page and change color every one second. VGA resolution is 640x480 @25 MHZ 8 colors
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:528456
    • 提供者:Kosta
  1. TEST-BENCH.vhd

    0下载:
  2. test bench for ddr 1
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1584
    • 提供者:shiva
  1. sensor-test-on-lcd

    0下载:
  2. sensor test on lcd 256
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:44470
    • 提供者:pouya
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com