CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - PWM CPLD

搜索资源列表

  1. pwm_VerilogHDLV1.1

    0下载:
  2. 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
  3. 所属分类:中间件编程

    • 发布日期:2008-10-13
    • 文件大小:232453
    • 提供者:wjz
  1. cpld-pwm

    0下载:
  2. 基于cpld的pwm控制设计 采用vhdl.verilog语言设计 对大家比较有用
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:79764
    • 提供者:emily
  1. pwm

    0下载:
  2. 实现PWM波型....使用VHDL语言-Realization of PWM waveform using the VHDL language ....
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:371245
    • 提供者:xxj
  1. an501_design_example

    0下载:
  2. PWM文件 用于CPLD,学习如何用VHDL语言写程序-PWM files for CPLD, learn how to write VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:286051
    • 提供者:xiaox
  1. cpldpwm

    1下载:
  2. cpld的PWM输出控制,初学cpld良好例程-CPLD output of PWM control, a good beginner routine CPLD
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:60711
    • 提供者:做人要厚道
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_E

    0下载:
  2. Example VHDL project showing how to use a PWM by CPLD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:290805
    • 提供者:maros
  1. transfer

    0下载:
  2. 基于CPLD的PWM波形的发生器,编程语言为verilog,开发环境为QuartusII.-The CPLD-based PWM waveform generator, the programming language to verilog, development environment for QuartusII.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1582
    • 提供者:ouyangyajuan
  1. pwm

    0下载:
  2. 整个系统以CPLD为核心逻辑控制器件,配以外围测试及试验电路:显示、时钟信号产生电路、蜂鸣器电路和ByteBlaster的数据变换电路,构成正负脉宽数控调制信号发生器。基于CPLD逻辑控制器件构成的正负脉宽数控调制信号发生器是一个单片系统,整个PWM信号控制所需的各种功能都可由CPLD来实现。-The entire system to the core logic control CPLD devices, together with the external test and the test
  3. 所属分类:Project Design

    • 发布日期:2017-03-29
    • 文件大小:251240
    • 提供者:唐慧
  1. an501_design_example

    0下载:
  2. 在MAX2系列CPLD上实现脉冲宽度调制(PWM),完整的设计成程序和仿真结果。-In the MAX2 series CPLD to realize pulse width modulation (PWM), a complete design and simulation results into the program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259188
    • 提供者:王志慧
  1. Pwm

    1下载:
  2. cpld的PWM产生电路,可以进行IGBT的控制,从而控制电机的运行速度,扭距-cpld the PWM generation circuit, IGBT can control, to control motor speed, torque
  3. 所属分类:其他小程序

    • 发布日期:2014-03-27
    • 文件大小:102460
    • 提供者:qz070
  1. MCU_V_PWM_16bit

    0下载:
  2. 单片机通过总线,将占空比和频率送到CPLD/FPGA中,并控制PWM输出.采用Verilog HDL语言编写。-Microcontroller by bus, the duty cycle and frequency sent to the CPLD/FPGA in, and control the PWM output. Using Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:255339
    • 提供者:zhouming
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_Ex

    0下载:
  2. 来自于ALTERA官方网站。 本文档详细介绍怎样利用MAX® II CPLD 来实现脉冲宽度调制(PWM)。本设计还利用了MAX II CPLD 的内部用户闪存振荡器,不需要采用专门的外部时钟。 附有verilog源程序。-From ALTERA website. This document details how to use the MAX ® II CPLD to implement pulse width modulation (PWM). This design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:291381
    • 提供者:无小品
  1. cpld--abcount090418

    0下载:
  2. 新做数据采集卡的PWM,计数器,继电器控制等全部资源的CPLD程序。-New data acquisition card to do PWM, counter, relay control, and all other resources, CPLD program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:314565
    • 提供者:liliugang
  1. cpld

    0下载:
  2. 基于CPLD的PWM信号发生器,产生占空比可调的PWM波。-cpld pwm
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:891581
    • 提供者:于洋
  1. CPLD-based-design-of-digital-PWM.

    0下载:
  2. CPLD-based design of digital PWM signal generator
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-31
    • 文件大小:321963
    • 提供者:帅逼
  1. CPLD-based-of-PWM

    1下载:
  2. 基于DSP&CPLD的载波移相多电平PWM实现的研究-DSP & CPLD-based carrier phase multi-level implementation of PWM
  3. 所属分类:DSP program

    • 发布日期:2015-01-12
    • 文件大小:224256
    • 提供者:zhoujie
  1. pwm

    0下载:
  2. 用于CPLD上的简易PWM程序,使用按键控制脉宽,适用于初学者-PWM is used to program the CPLD' s easy to use button control pulse width for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:468756
    • 提供者:bullfrog
  1. PWM

    0下载:
  2. 基于CPLD的多路PWM的实现,单片机串口传送占空比数据-CPLD-based multi-channel PWM to achieve single-chip serial transmission duty cycle data
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:208349
    • 提供者:李永
  1. PWM

    0下载:
  2. 使用FPGA/CPLD 输出固定占空比PWM波形。-using FPGA/CPLD output PWM waveform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1035
    • 提供者:john
  1. cpld

    0下载:
  2. DSEM电机驱动控制程序,包括其所处位置判读,PWM产生-Control of DSEM machine
  3. 所属分类:Other systems

    • 发布日期:2017-05-07
    • 文件大小:1287209
    • 提供者:
« 12 3 »
搜珍网 www.dssz.com