CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VGA vhdl image

搜索资源列表

  1. vgaout

    0下载:
  2. VHDL语言按VGA接口标准把数字图像信号转换成标准VGA格式。适合做学习试验-VHDL by VGA interface standards, digital image signal conversion into a standard VGA format. Suitable for the pilot study
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:6964
    • 提供者:余飞
  1. VGA.rar

    1下载:
  2. VGA彩色信号控制器设计:用VHDL语言编写程序,重点完成三个功能: 1.棋盘格图案显示: 用三基色原理在CRT显示器上显示由横竖八彩条重叠构成的棋盘格图案; 2.在显示器上依次显示0~9十个数字: 每个数字不同颜色,每个显示大约0.4秒,循环显示; 3.显示动画效果: 将静态图像以高频率显示,造成动画效果,最终动态显示OVER结束。,VGA color signal controller design: using VHDL programming language, focusi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:185932
    • 提供者:刘峰
  1. vga.rar

    0下载:
  2. 基于DE2板子的,VGA 图像显示,采用verilog语言,Based on the DE2 board, VGA image display, using Verilog language
  3. 所属分类:Graph program

    • 发布日期:2017-03-26
    • 文件大小:1401
    • 提供者:张梦
  1. ISE_lab19

    1下载:
  2. 俄罗斯方块VHDL实现,。该设计由下面模块组成:键盘输入模块,游戏控制模块,图像显示模块,文字显示模块,存储单元,复用单元和VGA 控制模块组成。其中图像显示模块和文字显示模块复用VGA 控制模块。游戏控制模块,图像显示模块和文字显示模块通过存储单元交换数据。-Tetris VHDL implementation. The design consists of the following modules: Keyboard input module, the game control modul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3850145
    • 提供者:雷旦
  1. codeFPGA

    0下载:
  2. source code verilog for get image 320x240 rgb form pc and display it on vga monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:836291
    • 提供者:Dang Tien Dat
  1. vgatutorial13

    0下载:
  2. 这个主要是用VHDL语言来实现从xilinxFPGA中的RBOM中读取一幅图像,并通过VGA口显示出来,同时还有加密的功能,按不同的按键可以实现图像颜色转换。-The VHDL language is mainly used in the RBOM from a xilinxFPGA to read an image, and displayed through the VGA port, as well as the function of encryption, the keys can b
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1768378
    • 提供者:张元甲
  1. DE2_CCD_binary

    0下载:
  2. verilog DE2 binary image (form CCD to VGA) output
  3. 所属分类:2D Graphic

    • 发布日期:2017-05-16
    • 文件大小:4235393
    • 提供者:eknngx
  1. 111186722VGAcontroler_for_Sopc_Builder

    0下载:
  2. vga图像彩条vhdl程序设计,希望对大家有所帮助!-image vga color VHDL programming, want to be helpful to everyone!
  3. 所属分类:Graph program

    • 发布日期:2017-04-27
    • 文件大小:94938
    • 提供者:catherine
  1. ImageProcessing

    0下载:
  2. 应用不同的用户可选择回旋滤波器的图像处理部件。一套PC应用程序将图像档案下载到一个FPGA可访问的存储器阵列。处理过的图像显示在连接的VGA显示屏上。 -Users can choose to apply a different room of the image processing filter components. A set of PC applications will be image files downloaded to a FPGA can access the memory
  3. 所属分类:Graph program

    • 发布日期:2017-06-08
    • 文件大小:15405871
    • 提供者:chenlunhai
  1. CCD

    0下载:
  2. 对ccd图像进行解码采集,并通过VGA输出-Ccd image decoding of the collection, and through the VGA output
  3. 所属分类:Special Effects

    • 发布日期:2017-03-28
    • 文件大小:3509
    • 提供者:charles
  1. Avalon_VGA

    0下载:
  2. vga显示彩色图像ip,alter开发板-vga display color image,vhdl,quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:12930
    • 提供者:licheng
  1. CCD

    1下载:
  2. 本设计主要用来进行图像采集处理,通过摄像头采集图像信息,经过插值算法后存储到外部SDRAM,然后读取图像数据,进行边缘滤波处理后经VGA输出到屏幕上。-This design is mainly used for image acquisition and processing,through the camera capture image information,after interpolation to the external memory after the SDRAM,and th
  3. 所属分类:Video Capture

    • 发布日期:2017-03-29
    • 文件大小:12348
    • 提供者:申永帅
  1. POTS.tar

    0下载:
  2. Pivoting Object Tracking System - This project implements an object recognition system, where a camera tracks the position of an object. The camera is mounted on an iRobot Create two-wheeled robot, which rotates according to the control signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:10608
    • 提供者:Dang Tien Dat
  1. YUV2RGB

    0下载:
  2. 该代码可将YUV图像数据转换为VGA显示器能显示的RGB数据,R,G,B的位宽均为4,转换速度快。-The code can be converted to a YUV image data of RGB VGA monitor can display the data, R, G, B of the bit width of 4, the conversion speed.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:842
    • 提供者:陈雅
  1. lab1(mka)

    0下载:
  2. RGB vga driver for manipulating the colours of a given image buffer. The code has beeen written in vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1802302
    • 提供者:saurabh
  1. fpga-vga

    0下载:
  2. 本设计介绍了一种利用可编程器件FPGA,应用VHDL和Verilog两种语言实现VGA(video graphic array)图像控制器的设计方案,通过采用FPGA(Filed programmable Gate Array)芯片设计和VGA接口将要显示的数据直接送到显示器主要设计出一些重要图像的各个功能模块,并且通过系统仿真软件和FPGA硬件实验板来验证设计结果的正确性。 本设计首先对FPGA芯片和图像的显示原理以及VGA显示器的控制方法做了清晰的阐述,然后在此基础上使用FPGA设计V
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5575744
    • 提供者:郭晓阳
  1. VHDL-VGA

    0下载:
  2. 基于VHDL的VGA彩条信号显示控制器,实现将预置的图像或动画在VGA显示器上正确显示的功能。-Based on the color bar signal VHDL VGA display controller, to achieve the preset image or animation function correctly displayed on a VGA monitor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:3914
    • 提供者:JACK
  1. VHDL

    0下载:
  2. VGA图像显示控制器设计.基于VHDL描述的VGA显示控制器,通过FPGA控制CRT显示器显示色彩和图形。完成的功能包括64种纯色的显示、横向和纵向彩色条幅的显示以及正方形色块的运动与控制。-VGA image display controller design based on VHDL descr iption of a VGA display controller, FPGA control CRT display color and graphics. Completed feature
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-19
    • 文件大小:958730
    • 提供者:李丛阳
  1. vga

    0下载:
  2. vga code for vhdl to show image on moniter
  3. 所属分类:VHDL编程

    • 发布日期:2014-08-18
    • 文件大小:6818334
    • 提供者:sanky1991
  1. vga

    0下载:
  2. vga This details a VGA controller component that handles VGA signal timing, written in VHDL for use with CPLDs and FPGAs. Figure 1 illustrates a typical example of the VGA controller integrated into a system. As shown, the VGA controller requires a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:219269
    • 提供者:jiang nan
« 12 »
搜珍网 www.dssz.com