CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 微处理器

搜索资源列表

  1. vhdl实例-完整微处理器系统模型

    0下载:
  2. vhdl实例-完整微处理器(cpu)系统模型 -VHDL-integrity microprocessor (CPU) system model
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1855
    • 提供者:张洪
  1. VHDL语言100例(普通下载)

    4下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. VHDL CPU部件

    1下载:
  2. 包括一个简单的ALU和一些寄存器、ROM的设计。有一些以TXT文件格式存在,用的时候只要改一下格式即可。
  3. 所属分类:VHDL编程

  1. web_cpu88.zip

    0下载:
  2. Intel微处理器8088的VHDL实现,可以用ModelSim进行仿真测试。,Realization of intel microprocessor 8088 in VHDL language, and can be tested and simulated with ModelSim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:604232
    • 提供者:卢刚
  1. VHDL

    0下载:
  2. 支持十条指令的微处理器 包括add sub mov mvi jmp jz in out sti lda微指令 支持8个寄存器 16位数据总线 地址总线 -Supports 10 microprocessor instructions, including add sub mov mvi jmp jz in out sti lda microinstruction registers support 8 data bus 16-bit address bus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-25
    • 文件大小:1073869
    • 提供者:张梦
  1. code

    0下载:
  2. 一个8位微处理器的VHDL代码以及testbench-8-bit processor VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:8529
    • 提供者:fei
  1. risc

    1下载:
  2. RISC(reduced instruction setcomputer,精简指令集计算机)是一种执行较少类型计算机指令的微处理器。改源码是vhdl语言,能在FPGA上跑。-RISC [reduced instruction setcomputer, Reduced Instruction Set Computer] is an implementation of fewer types of computer instructions to the microprocessor. VHDL s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:9645
    • 提供者:zhang
  1. TLC549

    0下载:
  2. TLC548和TLC549是以8位开关电容逐次逼近A/D转换器为基础而构造的CMOS A/D转换器。它们设 计成能通过3态数据输出和模拟输入与微处理器或外围设备串行接口。TLC548和TLC549仅用输入/输出时 钟(I/O CLOCK) 和芯片选择(CS) 输入作数据控制。TLC548的最高I/O CLOCK输入频率为2.048MHz, 而TLC549的I/O CLOCK输入频率最高可达1.1MHz。 有关与大多数通用微处理器接口的详细资料已由工厂 准备好,可供使用。-TLC5
  3. 所属分类:Project Manage

    • 发布日期:2017-03-28
    • 文件大小:711464
    • 提供者:ysy593
  1. niossram

    0下载:
  2. altera fpga ep3c25器件微处理器开发,niosii+sram, 已编译通过,可直接下载到开发板-altera fpga ep3c25 the development of microprocessor devices, niosii+ sram, compiled through, can be directly downloaded to the development board
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-06-03
    • 文件大小:15241904
    • 提供者:billfeng
  1. computer10

    0下载:
  2. 基于VHDL语言的设计8位CISC微处理器实例-VHDL design language based on 8-bit CISC microprocessor examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:757649
    • 提供者:steven
  1. i80386

    0下载:
  2. Intel微处理器80386的vhdl模拟,很有参考价值-Vhdl simulation of intel s 80386 microprocessor, is valuable for reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:8606
    • 提供者:卢刚
  1. VHDL04

    0下载:
  2. 4位微处理器系统的顶层描述代码,本人亲自测试,代码很简单。明了。内容无毒。放心下载使用-4 top-level descr iption of the microprocessor system code, I personally tested the code is very simple. Clear. The content of non-toxic. Download ease the use of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:908
    • 提供者:yanyinhong
  1. 15252uP(1)

    0下载:
  2. 这是8位微处理器的Verilog源代码,可以欠在Flex10k10里面-This is the 8-bit microprocessor Verilog source code, can they owed in Flex10k10
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:89777
    • 提供者:hbei
  1. mips

    0下载:
  2. 实现了一个简单的微处理器的功能,l里面有累加器,加法器,寄存器-adgfdhgjjj jdhjhgdkhgjhgjhgkjhgkgkh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1063047
    • 提供者:leixueyan
  1. finalcoursework

    0下载:
  2. 用VHDL代码写的模拟微处理器核程序,有计算模块和register file 等模块,并包含测试程序,调试程序 ACTIVE HDL-Simulation with the VHDL code is written in the microprocessor core procedures, such as computing modules, and register file module, and includes test program, the debugger ACTIVE HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:42829
    • 提供者:三木
  1. mipscpu-source

    0下载:
  2. mips cpu的实现.MIPS是世界上很流行的一种RISC处理器。MIPS公司的R系列就是在此基础上开发的RISC工业产品的微处理器。这些系列产品为很多计算机公司采用构成各种工作站和计算 机系统。 -mips cpu implementation. MIPS is the world' s very popular as a RISC processor. MIPS company' s R series is based on the development of industr
  3. 所属分类:Windows Develop

    • 发布日期:2017-05-22
    • 文件大小:7025256
    • 提供者:汤龑鸣
  1. cpu-poc

    0下载:
  2. 满足并行输出输入的功能,同时与打印机相连,程序中又添加了微处理器的程序。-To meet the parallel input-output function, while with the printer connected to the program has added a microprocessor program.
  3. 所属分类:assembly language

    • 发布日期:2017-04-10
    • 文件大小:1736777
    • 提供者:商客
  1. course-design-cpu-poc

    0下载:
  2. 满足并行输出输入的功能,同时与打印机相连,程序中又添加了微处理器的程序。-To meet the parallel input-output function, while with the printer connected to the program has added a microprocessor program.
  3. 所属分类:assembly language

    • 发布日期:2017-05-07
    • 文件大小:1760081
    • 提供者:商客
  1. microprocessor

    0下载:
  2. 一个微处理器的Verilog代码,根据英文书籍《数字设计与架构》中的例子而写,能够运行MIPS指令,能正确执行跳转指令。通过modelsim仿真,含测试代码。-Verilog code for a microprocessor, according to the English book " Digital Design and Architecture" was written in the example, to run MIPS instructions to jump
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:208679
    • 提供者:楚寒
  1. VHDL-cpu

    0下载:
  2. 使用vhdl 开发语言编写的 微处理器 内容比较详尽 -Developed using the language of the microprocessor vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:148844
    • 提供者:王俊
« 12 »
搜珍网 www.dssz.com