CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL for seven segment display

搜索资源列表

  1. cnt10

    0下载:
  2. 一个用VHDL语言编写的十进制计数器,后续还有分频器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -VHDL language us
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:242423
    • 提供者:QQ
  1. shizhong

    0下载:
  2. 用vhdl语言描述时钟的功能,并通过七段译码显示输出。-VHDL language used to describe the function of the clock and through the Seven-Segment display decoder output.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:67471
    • 提供者:wuyub
  1. display

    0下载:
  2. vhdl,七段数码管驱动程序,完成数字显示功能-vhdl, seven-segment digital tube driver, complete the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:86285
    • 提供者:王晓虎
  1. clk_div16

    0下载:
  2. 一个用VHDL语言编写的1/16分频器,后续还有计数器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:226059
    • 提供者:QQ
  1. MUX2

    0下载:
  2. Written in VHDL language using a 1 / 16 divider, follow-up there is the counter, data selector, seven-segment digital display procedures, the software platform is Quartus II 7.2, the final adoption of these small modules can be combined to produce a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:230763
    • 提供者:QQ
  1. display

    0下载:
  2. 一个用VHDL语言编写的七段数码管显示程序,后续还有分频器、数据选择器、计数器程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系QQ:782649157 -Written in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:234240
    • 提供者:QQ
  1. experiment5_1

    0下载:
  2. VHDL实验5,七段数码显示译码器设计。1)用VHDL设计7段数码管显示译码电路,并在VHDL描述的测试平台下对译码器进行功能仿真,给出仿真的波形。-VHDL Lab 5, Seven-Segment Display Decoder. 1) design using VHDL 7 segment LED display decoder circuit, and the VHDL descr iption of the decoder under test platform for functio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:143764
    • 提供者:童长威
  1. led

    0下载:
  2. 七段LED数码显示器是数字系统中常用的数码显示元件,二进制数不能直接在LED数码管上显示,需要用一个BCD七段译码器进行译码。下图给出了一个七段显示译码器的框图及相应的七段LED数码管的示意图。-Seven-segment LED digital display is commonly used in digital systems digital display devices, a binary number can not be directly displayed on the LED
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:29440
    • 提供者:乐天猫
  1. sram

    0下载:
  2. 数据存储和读取电路以一个双端口SRAM为中心,用二进制计数器产生存取地址、以十进制计数器产生欲存储的数据,读出的数据经过LED七段译码,送LED数码管显示-Data storage and reading circuit in a dual-port SRAM as the central access address generated using a binary counter to generate For decimal counter data stored, read out th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:434550
    • 提供者:william
  1. HexToBin

    0下载:
  2. How to transform a binary 4 digit number into a 8 bit number for a seven segment display, characters 0 to 15 i.e. Hexadecimal.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:313042
    • 提供者:Basil
  1. seven_segment

    0下载:
  2. It is seven segment decoder and display hexadecimal digits, and for wirting with vhdl use PACKAGE-It is seven segment decoder and display hexadecimal digits, and for wirting with vhdl use PACKAGE...
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:339331
    • 提供者:sa
  1. VHDL-3BCD

    0下载:
  2. 3位BCD码的计数显示电路。BCD码计数电路从0计到9然后返回到0从新计数。3位BCD码计数器可以实现从0到999的十进制计数。要将计数过程用七段显示LED数码管显示出来,这里采用动态分时总线切换电路对数码管进行扫描,对数码管依次分时选中进行输出计数的个、十、百位的数据。-3 BCD code count display circuit. BCD code counting circuit count from 0 to 9 and then back to 0 from the new cou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:55898
    • 提供者:will li
  1. vhdl-program-for-seven-segment-display

    0下载:
  2. seven segment code using vhdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:612
    • 提供者:chhavi
  1. Alu-with-seven-segmetn-output

    0下载:
  2. This contains VHDL source code for a simple arithmetic logic unit. the input and results are displayed on a 4 digit 7 segment display. The user controls the input throug the use of switches. This design was created for the nexys 2 fpga but can be eas
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:8040
    • 提供者:hatsjoe
  1. Count-display-circuit-design(VHDL)

    0下载:
  2. 用VHDL语言设计计数显示电路。设计输出为3位BCD码的计数显示电路。由三个模块构成:十进制计数器(BCD_CNT)、分时总线切换电路(SCAN)和七段显示译码器电路(DEC_LED)-VHDL language to count the display circuit. The design output for display circuit 3 BCD count. Consists of three modules: the decimal counter (BCD_CNT), time
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:46405
    • 提供者:hhsyla
  1. traffic_Light

    0下载:
  2. 模拟十字路口交通灯的VHDL程序,附有用与配合ModelSim的仿真程序。 内容:交通灯设计 (1)A,B方向各有红,黄,绿灯,初始态全为红灯,之后东西方向通车,绿灯灭后,黄灯闪烁,各路口通车时间为30秒,由两个七段数码管计数,当显示时间小于3秒的时候通车方向黄灯闪烁 (2)系统时钟1KHz,黄灯闪烁时钟要求为2Hz,七段码管的时间显示为1Hz脉冲,即1秒递减一次,在显示时间小于3秒时,通车方向的黄灯以2Hz的频率闪烁,系统中加入外部复位信号。 (3)用ModelSim做仿真
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:1305
    • 提供者:陈若耿
  1. LED-xianshi---yimaqi

    0下载:
  2. 7段LED显示译码器的设计 采用文本输入设计方法,通过编写VHDL语言程序,完成7段LED显示译码器的设计并进行时序仿真。 2、 设计完成后生成一个元件,以供更高层次的设计调用。 -7-segment LED display decoder design using text input design method, by writing VHDL language program, complete the seven-segment LED display decoder desig
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-10
    • 文件大小:54166
    • 提供者:杨帆
搜珍网 www.dssz.com