CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDl进制

搜索资源列表

  1. q

    0下载:
  2. 数字钟是一个将“时”“分”“秒”显示于人的视觉器官的计时装置。它的计时周期为24小时;显示满刻度为23时59分59秒,另外具备校时功能和报时功能。因此,一个基本的数字钟电路主要由“时”“分”“秒”计数器校时电路组成。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累加60秒发送一个“分脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计数器,可实现对一天24小时的累计。译码显示电路将“时”“分”“秒”计数器的输出状态六段显示译码器译码。通过六位LED七段显示器显示出
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:6602
    • 提供者:李苏铭
  1. clk

    0下载:
  2. 现代电子系统课程设计 基于DDS技术利用VHDL设计并制作一个数字式移相信号发生器。 (1)基本要求: a.频率范围:1Hz~4kHz,频率步进为1Hz,输出频率可预置。 b.A、B两路正弦信号输出,10位输出数据宽度 c.相位差范围为0~359°,步进为1.4°,相位差值可预置。 d.数字显示预置的频率(10进制)、相位差值。 (2)发挥部分 a.修改设计,增加幅度控制电路(如可以用一乘法器控制输出幅度)。 b.输出幅度峰峰值0.1~3.0V,步距0
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-28
    • 文件大小:174787
    • 提供者:耳边
  1. clock

    0下载:
  2. 60进制计数器,采用十分简便的方法,能够很快速的完成计数功能。-60 M-ary counter, using a very simple way to very quickly complete the count function.
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-26
    • 文件大小:429755
    • 提供者:黎明
  1. twototen

    0下载:
  2. 十进制转换二进制并加减可以适用于温度调控-twototen
  3. 所属分类:SQL Server

    • 发布日期:2017-04-02
    • 文件大小:338601
    • 提供者:王力
  1. Led

    0下载:
  2. 本程序有效的防止了按键的抖动,可以移植于各种需要按键防抖的程序,本程序是功能为按键防抖16进制减法计数器-debounced counter VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:288786
    • 提供者:hide tyou
  1. DIP_PB_Counter

    0下载:
  2. 本程序有效的防止了按键的抖动,可以移植于各种需要按键防抖的程序,本程序是功能为按键防抖16进制减法计数器-This procedure prevents the effective jitter keys can be transplanted into a variety of procedures need to Anti-Shake button, the program is anti-shake function for the key 16 counter-band subtract
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:202316
    • 提供者:hide tyou
  1. Shuma

    0下载:
  2. 完整的电子钟程序,包含报时、定时、闹表的功能,其中包含了二十四进制,60进制计数器的设计,和顶层文件-Complete procedures for the electronic bell, including the time, from time to time, to make the function table, which contains 24 hexadecimal, 60 hexadecimal counter design, and top-level document
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:908104
    • 提供者:李坤鹏
  1. 0_F

    0下载:
  2. 译码(把二进制转化成十进制,七段码)vhdl语言,适用于初学者-yima
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:95951
    • 提供者:zhangfengjie
  1. vhdl

    0下载:
  2. 数字时钟20进制,包含源代码。仅供参考。不负任何责任。-shuzishizhong
  3. 所属分类:Document

    • 发布日期:2017-04-02
    • 文件大小:2655
    • 提供者:TOM
  1. cnt8

    0下载:
  2. 用JK-flip-flop做的8进制counter-mod-8-counter
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:385003
    • 提供者:suhang
  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. 7

    0下载:
  2. 调用总共四个计数器(两个六进制,两个十进制,六进制计数器可由实验五的程序做简单修改而成)串起来构成异步计数器,计数器的值,通过实验九串行扫描输出。用1Hz连续脉冲作为输入,这样就构成一个简单的1h计时器。带一个清零端。 输入:连续脉冲,逻辑开关;输出:七段LED。 -Called a total of four counters (two six-band, two decimal, hexadecimal counter by six experimental procedure
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:6380
    • 提供者:李小勇
  1. scale

    0下载:
  2. 可以实现二进制向十进制转换 输出时16进制的 可以用数码管显示-Binary to decimal conversion can be achieved when the 16 hex output LED display can be
  3. 所属分类:source in ebook

    • 发布日期:2017-03-28
    • 文件大小:1349
    • 提供者:梁天尺
  1. vhdl

    0下载:
  2. 实现60进制计数 二十字街啊?我靠还不够-Count 20 words of 60 binary implementation Street ah? I rely on is not enough
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:13031
    • 提供者:
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. vhdl-digital-clock

    0下载:
  2. 数字电子钟VHDL 能够实现小时(24进制)、分钟和秒钟(60进制)的计数功能 具有复位功能 功能扩展:具有复位、整点报时提示、定时闹钟等功能 方案: 对数字电子钟的功能进行分析,确定出所需要的各个模块,再通过顶层文件的设计,把各个模块例化综合起来,形成一个完整的电子钟。 -VHDL to implement digital electronic clock hours (24 hex), minutes and seconds (60 decimal) of th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:77494
    • 提供者:陈添
  1. vhdl

    0下载:
  2. VHDL语言编写的一个10进制的程序 关于半加器的设计程序-VHDL language preparation a 10 into the program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:4592
    • 提供者:刘传茂
  1. vhdl--eda

    0下载:
  2. m 序列发生器 计数器 七段数码管显示 bcd 十六进制转换-failed to translate
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:2142
    • 提供者:kuwait
  1. shuzhizhong(vhdl)

    0下载:
  2. 数字钟设计 计时计数器用24进制计时电路; 可手动校时,能分别进行时、分的校正; 整点报时; 选做:可设置闹时功能,当计时计到预定时间时,扬声器发出闹铃信号,闹铃时间为4s,并可提前终止闹铃。-Digital clock design
  3. 所属分类:assembly language

    • 发布日期:2017-10-31
    • 文件大小:725786
    • 提供者:aaaaa
  1. UART_RS232(VHDL)

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位,8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间划分为8个时隙以使通信同步.程序的工作过程是:串口处于全双工工作状
  3. 所属分类:assembly language

    • 发布日期:2017-11-14
    • 文件大小:607493
    • 提供者:饕餮小宇
« 1 23 4 5 6 7 8 9 »
搜珍网 www.dssz.com