CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - clock.vhd

搜索资源列表

  1. clk_div2n

    0下载:
  2. 这是用VHDL 语言编写的参数可以直接设置的2n倍时钟分频器,在运用时,不需要阅读VHDL源代码,只需要把clk_div2n.vhd加入当前工程便可以直接调用clk_div2n.bsf。-This is the VHDL language parameters can be directly installed 2n times the clock dividers, when exercising not reading VHDL source code, clk_div2n.vhd simp
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1588
    • 提供者:谢光华
  1. LED_clock_quartus

    0下载:
  2. 用VHDL语言实现数显时钟,devid200.vhd为分频模块,scan.vhd为LED扫描模块,timecount.vhd为计数模块-VHDL digital clock, devid200.vhd for frequency module, scan.vhd for LED scanning module, timecount.vhd for counting module
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3123
    • 提供者:王龙
  1. 8b_10b

    3下载:
  2. vhdl编写,8b—10b 编解码器设计 Encoder: 8b/10b Encoder (file: 8b10b_enc.vhd) Synchronous clocked inputs (latched on each clock rising edge) 8-bit parallel unencoded data input KI input selects data or control encoding Asynchronous act
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:73116
    • 提供者:聂样
  1. digitalclock.vhd

    0下载:
  2. 实现电子钟的功能,使用VHDL编程语言,调试已经通过-Electronic clock function, the use of VHDL programming language, debugging has been passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1773
    • 提供者:carsu
  1. VerilogDHL_clock

    0下载:
  2. 新来匝道穿上别人写的基于vhd的数字时钟很好大家看看啊,很规范的哦。-New ramp to wear someone else wrote vhd on the digital clock very well take a look at the ah, oh, very norms.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1896
    • 提供者:olive
  1. 61EDA_D1077

    1下载:
  2. 数字钟电路原理图程序清单 ********顶层程序描述*********** 程序:TIMER_SET.VHD-Digital clock circuit schematic process procedures described in the top of the list of******************* procedures: TIMER_SET.VHD
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-29
    • 文件大小:9570
    • 提供者:yimilai
  1. clk_div.vhd

    0下载:
  2. 实现对时钟信号的技术分频,程序简单易懂,对于初学VHDL者来说,提供了一个良好的方法。-Implementation of the clock signal frequency technology, the program easy to understand, for the beginner who VHDL, provides a good approach.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1516
    • 提供者:王宇坤
  1. ADC0809VHDL

    0下载:
  2. 文件名:ADC0809.vhd功能:基于VHDL语言,实现对ADC0809简单控制说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟号,这里由FPGA的系统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。-File name: ADC0809.vhd features: Based on the VHDL language, easy to control implementation of the ADC0809 Descr ipt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1155
    • 提供者:王远东
  1. ADC0809VHDL

    0下载:
  2. 8.4 ADC0809 VHDL控制程序 见随书所附光盘中文件:ADC0809VHDL程序与仿真。 --文件名:ADC0809.vhd --功能:基于VHDL语言,实现对ADC0809简单控制 --说明:ADC0809没有内部时钟,需外接10KHz~1290Hz的时钟信号,这里由FPGA的系 --统时钟(50MHz)经256分频得到clk1(195KHz)作为ADC0809转换工作时钟。 --最后修改日期:2004.3.20 -8.4 ADC0809 VHDL con
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:3915
    • 提供者:wangnan
  1. LEDVHDL

    0下载:
  2. 8.2 LED控制VHDL程序与仿真 本节分别介绍采用FPGA对LED进行静态和动态显示的数字时钟控制程序。 1. 例1:FPGA驱动LED静态显示 --文件名:decoder.vhd。 --功能:译码输出模块,LED为共阳接法。 --最后修改日期:2004.3.24。 -8.2 LED control and simulation of VHDL procedures introduced in this section of the LED using FPGA st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:5263
    • 提供者:wangnan
  1. VHD

    0下载:
  2. 时钟输入,寄存器定义,中断定义,中断请求定义。-The clock input, register definition, interrupt definition, the definition of the interrupt request.
  3. 所属分类:Windows Develop

    • 发布日期:2016-01-26
    • 文件大小:12734
    • 提供者:金淼
  1. 3FSK.vhd

    0下载:
  2. 利用MAXPLUS作为仿真工具,用VHDL语言编程,采用频率键控法实现3FSK调制。对输入的系统时钟分别进行2分频,4分频和8分频得到这3种频率。通过对数字基带信号进行双二进制编码得到3个电平值,把它们作为三选一开关,来分别选择不同的频率值、选择不同的信号,从而实现3FSK调制。-As a simulation tool used MAXPLUS using VHDL language programming, using frequency shift keying modulation me
  3. 所属分类:Communication

    • 发布日期:2017-04-03
    • 文件大小:3669
    • 提供者:雷月
  1. clock_divider

    0下载:
  2. clock divider for fpga in verilog and vhdl it contains counter.vhd clock1.v clock_divider.doc-clock divider for fpga in verilog and vhdl it contains counter.vhd clock1.v clock_divider.doc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:8176
    • 提供者:sreejith
  1. Digitalclock

    0下载:
  2. 数字钟:好刻录机大哥和旅客的 得利卡刚和旅客的将离开对方非公开了就噶了空间的快乐记录卡就够了看见了健康的的啊看来固定价格两科噶及的旅客;攻击力看过个 啊的非公开了骄傲的噶的了科技是-Digital clock: a good writer and passenger Delica brother and visitors will just leave the other side closed the space on the Karmapa and the joy of memory car
  3. 所属分类:Jsp/Servlet

    • 发布日期:2017-03-29
    • 文件大小:365430
    • 提供者:whai
  1. clock_divider.vhd

    0下载:
  2. A generic clock divider described in VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:600
    • 提供者:Marcos
  1. cnt10.vhd

    0下载:
  2. 设计一个10进制同步计数器,带一个清零端,一个进位输出端。(如果改成六进制,应该如何修改程序) 计数器分为同步计数器和异步计数器两种,是典型的时序电路,分析计数器就能更好的了解时序电路的特性。所谓同步计数器,就是在时钟脉冲的控制下,构成计数器的各触发器同时发生变化的那一类计数器。异步计数器又称行波计数器,它的下一位计数器的输出作为上一位计数器的时钟信号,这样一级一级串接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:1054
    • 提供者:chenguoxian
  1. vhdl-digital

    1下载:
  2. VHD L数字钟 设计源码 包括 设计思想 设计模块 -VHD L source, including digital clock design design design module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:45043
    • 提供者:马峰凌
  1. VHDL-NoteTabs-

    0下载:
  2. 利用实验数控分频器的设计硬件乐曲演奏电路,主系统由三个模块组成,顶层设计文件,其内部有三个功能模块,TONETABA.VHD,NOTETABS.VHD,和SPEAKERA.VHD, 在原设计的基础上,增加一个NOTETABS模块用于产生节拍控制(INDEX数据存留时间)和音阶选择信号,即在NOTETABS模块放置一个乐曲曲谱真值表,由一个计数器的计数值来控制此真值表的输出,而由此计数器的计数时钟信号作为乐曲节拍控制信号,从而可以设计出一个纯硬件的乐曲自动演奏电路。-Experimental NC
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:5038
    • 提供者:JACK
  1. ra3_lib

    0下载:
  2. serial FIR filter with 2048 tap. Clock runs 4048 times faster than sampling frequency to finish FIR filter calculations before the next sample. Filter coefficients can be loaded in ROM as .hex file. Suitable for room reverberation and high order filt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5277996
    • 提供者:Abdullah
  1. uart-to-GPIO.vhd

    0下载:
  2. -- Filename ﹕ uart.vhd -- Author ﹕ZRtech -- Descr iption ﹕串口接收与发送程序 -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证-- 程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控制器,10个bit是1位起始位-- 8个数据位,1个结束位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实现相应的波-- 特率。程序当前设定的div_
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3028
    • 提供者:hj
« 12 »
搜珍网 www.dssz.com