CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - display port standard

搜索资源列表

  1. Senfore_DragDrop_v4.1

    0下载:
  2. Drag and Drop Component Suite Version 4.1 Field test 5, released 16-dec-2001 ?1997-2001 Angus Johnson & Anders Melander http://www.melander.dk/delphi/dragdrop/ ------------------------------------------- Table of Contents: ----------------------
  3. 所属分类:Windows编程

    • 发布日期:2011-12-21
    • 文件大小:2130304
    • 提供者:smj_9547
  1. SN1602WK

    0下载:
  2. 使用TC0C与FP00中断测量温度,----获得成功!数码管在主程序显示,没有抖动!2 个端口独立上下限控制输出.芯片: SN8P1602B-SOP18脚,电容器104,传感器50K,标准电阻4K7.50格分度 两路控制说明:均为:温度<下限值控制=高电平.温度≥上限值控制=低电平.两路控制各使用自己的上下限来控制.设置上下限分别闪烁显示0H,0L,1H,1L.这是一个很成功的温控程序,仅仅1K空间做出来 4 个上下限值的控制. 校验和:1E09,使用空间908剩余空间115 47K+4
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:7174
    • 提供者:蓝天
  1. 240128

    0下载:
  2. 240128液晶驱动程序,本演示程序适用于SMG240128A液晶显示模块与MCS51系列单片机采用MCS51模拟口线的 //硬件连线方式。 // 本演示程序包括T6963C兼容芯片的MCS51模拟口线方式子程序集,T6963C兼容芯片的240128 //液晶显示模块的基本子程序,以及SMG240128系列标准图形点阵型液晶显示的基本演示子程序. // 本演示的内容为,在240列X128行的点阵液晶显示屏上清屏,写数据,读写数据,全屏显示.-240,128 LCD drivers
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:2929
    • 提供者:jack
  1. ex4_SCI

    0下载:
  2. 程序所在目录:ex4_SCI 采用标准DB9 串口直连线将PC 串口和扩展板串口相连。打开PC 上的串口调试软件。默认设置COM1,9600N,8,1。选择按16 进制接收和发送。 然后打开CC2000,进行如下操作: 1.Project->Open ,打开该目录中的工程文件。 2.Project->Rebuild ALL,编译链接 3.File->Load Program 4.Debug->GO Main 5.Debu
  3. 所属分类:DSP program

    • 发布日期:2017-04-16
    • 文件大小:31925
    • 提供者:To_oo
  1. port1

    0下载:
  2. 本软件可通过微机并口向用户提供多达12路的标准TTL脉冲信号,同时可进行5路的标准TTL脉冲信号的波形显示。 软件的使用方法极为简单。输出信号时,只要选中或取消引脚号,就能在相应的引脚得到相应的脉冲信号(统一为选中为高电平,取消为低电平),“清零”按钮为对应该组的所有信号清零。 输入信号的波形显示,按“开始”按钮为开始进行显示,“停止”为暂停。 在设置面板中,“数据读入时间间隔”为读入时间的设定。“并行打印端口设置”为显示微机中存在的可用打印端口,并可以设定本软件当前要使用的端口(如只有一个可用
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-07
    • 文件大小:216263
    • 提供者:刘磊
  1. c8051f_lcd

    0下载:
  2. 并口方式点亮12864规格的液晶显示器,显示位置可调-12864 standard parallel port mode lit LCD display, adjustable display position
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:6701
    • 提供者:feiyang
  1. ADI-DMM-

    0下载:
  2. 本项目充分地利用了DSP 强大的信号处理能力和现代数值分析方法。设计了 电能表前端采样及计量模块的硬件,以及一套符合国标GB/T 17883-1999 的0.2S 级精度要求的算法,同时扩展了谐波分析功能。系统概述为:三相电压、电流 AD 采样,采样数据通过串口送至处理器(DSP),由DSP 对采样数据作电参数计 量和谐波分析,处理结果通过定制LCD 显示,并通过脉冲口发出有功、无功校 表脉冲。DSP 采用ADI 公司的BLACKFIN531-16 位定点芯片,最高处理能力可
  3. 所属分类:DSP program

    • 发布日期:2017-03-27
    • 文件大小:681479
    • 提供者:李易
  1. DisplayPort1.2

    2下载:
  2. VESA DisplayPort Standard Version 1, Revision 2 Released January 5, 2010 The purpose of this document is to define a flexible system and apparatus capable of transporting video, audio and other data between a Source Device and a Sink Device o
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2016-08-17
    • 文件大小:7037952
    • 提供者:wwlian
  1. Blinky_ADC

    0下载:
  2. 使用到I/O控制、定时器、串口和液晶屏显示,程序每秒钟往串口打印一次PC2处的AD值,液晶屏同时也通过进度条及数值两种方式将AD值显示出来。串口使用USB-COM接口,波特率为115200,无硬件流控制。-The Blinky project is a simple program for the STM32F407Z microcontroller using STM STM3240G-EVAL Evaluation Board, compliant to Cortex Mic
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:749294
    • 提供者:
  1. Touch

    0下载:
  2. MzTH56的标准版模块内置有32M Bytes空间的资源存储器,该存储器可根据模块所支持的资源类型将汉字库、西文字库、BMP位图资源存储于其中;而用户在使用时,只需要通过模块的串口发送相关的指令(汉字显示、位图显示指令等)便可直接将资源存储器中的字库、图片资源显示在屏幕上,而无需在控制模块显示的过程中传输大量的数据-The standard version in MzTH56 module built-in 32M Bytes space resources memory, the memor
  3. 所属分类:SCM

    • 发布日期:2017-12-02
    • 文件大小:4217
    • 提供者:刘权福
  1. KeyBorad

    0下载:
  2. 扫描4X4键盘,并将键值在数码管和8个LED上显示,同时将键值通过串口或RS232端口或USB发送给PC机接收并解码来自标准键盘的基本按键的扫描码。-4X4 keypad scanning, and key in the digital tube and eight LED display, while the key through the serial port or RS232 port or a USB send the PC to receive and decode the scan
  3. 所属分类:SCM

    • 发布日期:2017-11-13
    • 文件大小:190603
    • 提供者:程耀庆
  1. Three-phase-smart-meter-design

    0下载:
  2. 充分地利用了DSP强大的信号处理能力和现代数值分析方法。 设计了电能表前端采样及计量模块的硬件,以及一套符合国标GB/T 17883-1999的 0.2S 级精度要求的算法,同时扩展了谐波分析功能。系统概述为:三相电压、电流 AD 采样,采样数据通过串口送至处理器(DSP),由 DSP 对采样数据作电参数计 量和谐波分析,处理结果通过定制 LCD 显示,并通过脉冲口发出有功、无功校 表脉冲。DSP 采用 ADI 公司的 BLACKFIN531-16 位定点芯片,最高处理能力可
  3. 所属分类:software engineering

    • 发布日期:2017-05-03
    • 文件大小:681446
    • 提供者:jz
  1. plj.FPGA

    0下载:
  2. 本频率计基于CPLD/FPGA实现。 50MHZ标准频率为CPLD内部时钟信号,被测方波为信号发生器产生的方波信号,显示电路由TTL芯片及七段数码管组成的电路,自校正输出由CPLD输出已知频率的测试方波信号,可将其输入至测试端口,进行系统精度校正。 -The frequency meter based on CPLD/FPGA implementation. 50MHZ standard CPLD internal clock signal frequency, square-wave test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:9276227
    • 提供者:刘波
  1. 2

    0下载:
  2. 端口占用列表,delphi7开发的,使用标准控件,直接编译,交错颜色显示-Port occupied list, Delphi7 development, the use of standard controls, directly compiled, cross color display
  3. 所属分类:WinSock-NDIS

    • 发布日期:2017-04-30
    • 文件大小:206642
    • 提供者:jackson_mm
搜珍网 www.dssz.com