CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - eda 电子时钟

搜索资源列表

  1. dianzishezhong

    0下载:
  2. 电子时钟 EDA 基本要求: 24小时计数显示; 具有校时功能(时,分) 附加要求 1、秒表功能(复位,计时
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:2916
    • 提供者:Jaman
  1. EDA设计—电子钟设计

    3下载:
  2. EDA设计—电子钟设计 利用quauters设计电子时钟并模拟
  3. 所属分类:报告论文

    • 发布日期:2010-11-21
    • 文件大小:271360
    • 提供者:caicai_aa
  1. dsp

    0下载:
  2. 由于微电子技术的高速发展,由IC芯片构成的数字电子系统朝着规模大、体积小、速度快的方向飞速发展,而且发展速度越来越快。新器件的应用导致现代EDA设计的电路布局密度大,而且信号的频率也很高,随着高速器件的使用,高速DSP(数字信号处理) 系统设计会越来越多,处理高速DSP应用系统中的信号问题成为设计的重要问题,在这种设计中,其特点是系统数据速率、时钟速率和电路密集度都在不断增加,其PCB印制板的设计表现出与低速设计截然不同的行为特点,即出现信号完整性问题、干扰加重问题、电磁兼容性问题等等。-err
  3. 所属分类:software engineering

    • 发布日期:2017-04-07
    • 文件大小:7155
    • 提供者:王建伟
  1. eda

    0下载:
  2. eda课程设计报告 电子时钟 整点报时 调整时间-curriculum design EDA report the whole point of electronic time clock adjust time
  3. 所属分类:Project Design

    • 发布日期:2017-03-30
    • 文件大小:150774
    • 提供者:需索
  1. shizhong

    0下载:
  2. 用eda 技术实现电子时钟的基本功能,包括定时,跑秒等功能。(这里只有源代码)-This is a simple chengxu write by vhdl
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1493
    • 提供者:冯文
  1. EDA

    0下载:
  2. EDA数字电子钟课程设计。时钟自动计时,并且将计时数据传送至显示管显示。-EDA digital electronic clock curriculum design. Clock automatic timing, and timing data will be sent to the display tube display.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4974
    • 提供者:xiaokun
  1. simulatetheworkandisplaydigitalclock

    0下载:
  2. 电子电路设计与仿真工具eda,用来模拟数码时钟的工作和显示。希望能够提供帮助。 -Electronic circuit design and simulation tool eda, used to simulate the work and display digital clock. Want to help.
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-16
    • 文件大小:58597
    • 提供者:zz chen
  1. time-eda-pro

    0下载:
  2. eda 课程设计,时钟程序。时钟代码.电子时钟。觉悟应有。-It is a eda time programe.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1225
    • 提供者:liyu
  1. jy4739_clock

    0下载:
  2. EDA课程设计基于vhdl的带有万年历的电子时钟设计-EDA curriculum design based on the electronic clock with a calendar vhdl design
  3. 所属分类:SCM

    • 发布日期:2017-06-15
    • 文件大小:23868695
    • 提供者:燕子
  1. divider

    0下载:
  2. 时钟分频,改一下参数就能立即实现电子电路的时钟分频,用于EDA程序设计-clock divider
  3. 所属分类:Other systems

    • 发布日期:2017-11-21
    • 文件大小:1409
    • 提供者:张生泽
  1. VHDLRS232Slave

    0下载:
  2. 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在PC机上安装一个串口调试工具来验证程序的功能。程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 //制器,10个bit是1位起始位,8个数据位,1个结束 //位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 //现相应的波特率。程序当前设定的div_par 的值是0x145,对应的波特率是 //9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时间 //划分为8个时隙以
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:2456
    • 提供者:yanganggang
  1. EDA

    0下载:
  2. 电子时钟 基于VHDL设计的建议电子时钟-DIGTAL CLOCK
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:5643172
    • 提供者:李戴维
搜珍网 www.dssz.com