CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga消抖

搜索资源列表

  1. pwm_key

    0下载:
  2. 用fpga ep2c8Q208实现的按键可控PWM信号发生器 (按键加了消抖模块,PWM寄存器位宽为32位)-Achieved with the fpga ep2c8Q208 PWM control signal generator key (key plus the debounce module, PWM register bit width is 32 bits)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:729898
    • 提供者:Johnny chen
  1. debounce_2_Verilog

    0下载:
  2. 用VerilogHDL编写的按键消抖程序 分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下后,产生时间为10ms的低电平信号,即LED亮10m-*Project Name :debounce *Module Name :debounce *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *Date : 2011-11-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:430472
    • 提供者:ZB
  1. key_8

    0下载:
  2. FPGA单排键盘程序—已消抖,下载后可直接使用,Q2中综合已通过,基于cyclone-FPGA single-row keyboard program- has been shaking extinction, can be used directly after downloading, Q2 integrated through
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:232551
    • 提供者:LiuYuan
  1. code-

    0下载:
  2. 消抖代码 应用于fpga 基础实验 常用-Debounce code commonly used in basic experimental fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:348339
    • 提供者:
  1. keyboard

    0下载:
  2. 使用FPGA verilog语言编写的键盘按键消抖程序,三个按键,控制LED亮灭-Written using the FPGA verilog keyboard debounce procedure, three buttons, the control LED light off
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:23667
    • 提供者:徐俊
  1. qiangdaqi

    0下载:
  2. 基于VHDL与FPGA的四路抢答器的设计与仿真。主要模块:抢答、竞争冒险、抢答倒计时、加分减分、超时蜂鸣、按键消抖、答题记时等模块-VHDL and FPGA-based four-way Responder Design and Simulation. Main modules: Responder, competition and adventure, answer in the countdown, plus minus points, overtime buzzer, key debou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1539960
    • 提供者:丫头
  1. keyscanverilog

    0下载:
  2. 按键消抖实验,体验用FPGA实现 和用单片机实现的不同-Key debounce experiment, experience, and the use of single-chip FPGA implementation to achieve different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:195430
    • 提供者:樊依林
  1. xiaodou_fpga

    0下载:
  2. fpga的按键消抖程序,用硬件描述语言实现,可以用在按键控制的fpga上。-fpga key debounce procedure, using hardware descr iption language, can be used in the control buttons on the fpga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:348992
    • 提供者:momowang
  1. Debounced-program-FPGA

    0下载:
  2. FPGA消抖程序,用于按键消抖,可作为一个process写入程序-FPGA debounce program for key debounce, the program can be written as a process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:518
    • 提供者:邓欢
  1. qjsf

    0下载:
  2. 基于fpga多功能电子时钟设计,按键消抖等处理-Fpga based design of multi-function electronic clocks, and other processing key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:250229
    • 提供者:
  1. key_debounce

    0下载:
  2. verilog实现的按键消抖源代码,初学fpga的可以学习下-implementation of key debounce verilog source code, beginners can learn from fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:349055
    • 提供者:flier
  1. debounce_1_Sch

    0下载:
  2. 用QuartusII原理图形式编写的按键消抖程序,分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下的时间与产生低电平信号的时间相等,按键按下的时间与LED灯亮的时间相等-*Project Name :debounce_Sch *Module Name :debounce_Sch *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:404683
    • 提供者:ZB
  1. verilog_sw_led

    0下载:
  2. 采用verilog编写的FPGA程序,程序的功能是按键按键消抖,quartus II 开发。芯片型号是EP2C35F484C7,时钟50MHz。-FPGA verilog to write the program, the program function is the key button is debounced, quartus II development. The chip model is EP2C35F484C7, clock 50MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:412292
    • 提供者:muliubing
  1. KEY

    0下载:
  2. 实现按键消抖级检测,通过检测按键,实现LED灯的亮或灭(To achieve the key jitter level detection, through the detection button, LED lights to achieve brightness or extinction)
  3. 所属分类:通讯编程

    • 发布日期:2017-12-19
    • 文件大小:168960
    • 提供者:老虎szjwl
  1. 至简设计法--按键消抖

    0下载:
  2. 按键消抖 工程说明 在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。 案例补充说明 在本案例中,我们使用Verilog HDL语言对按键消抖进行了设计,在这个过程中,我们可以了解到不同触发器有不同的工作原理和约束条件,即便是简单的一个按键功能,也有不可忽视的抖动过滤程序,这些都是在以后的设计工作中需要注意的。(Keystroke ditheri
  3. 所属分类:其他

  1. keypad

    0下载:
  2. 4*4的薄膜按键,采用状态机实现译码功能和按键消抖功能(4 * 4 of the film button, using the state machine to achieve decoding and key function)
  3. 所属分类:VHDL/FPGA/Verilog

  1. 消抖模块源代码

    0下载:
  2. 对fpga中的按键,防摔等部分进行消除抖动(To eliminate the jitter of the key in the FPGA, the fall prevention and other parts)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:1024
    • 提供者:tttii
  1. 2.key_flash

    0下载:
  2. 实现FPGA开发板最基础的按键消抖功能,可以移植。(To achieve the most basic key function of FPGA development board, we can transplant it.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-01
    • 文件大小:6176768
    • 提供者:天天爱上学
  1. key_jitter_decade_counter_seg

    0下载:
  2. 采用对抖动时间的记录,实现按键消抖,并记录按键个数(We use the recording of the jitter time to achieve the key dithering and record the number of keys.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-02
    • 文件大小:64512
    • 提供者:小明与小明
  1. sw_debounce

    0下载:
  2. 按键消抖,更改计数值可随意调节按键消抖的时间。(Button to shake down, change the value of the meter, you can adjust the button to shake time.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:vierh
« 12 »
搜珍网 www.dssz.com