CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. add_ded_module

    0下载:
  2. 使用Verilog语言编写的4位加减法器,经验证能在FPGA开发板上实现。-Verilog4 bit adder-subtractor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-07
    • 文件大小:345055
    • 提供者:李泽骏
  1. multiply

    0下载:
  2. 本文利用全加器、半加器,利用进位保留的思想,在前向割集中加入四级流水实现了乘法器的设计,提高乘法器的运算速度,并且介绍了乘法器的VHDL的程序编写过程以及代码,并给出了仿真波形-In this paper, the use of the full adder, half adder using carry-save ideological forward cutset added four water to achieve a multiplier design, to improve the
  3. 所属分类:Project Design

    • 发布日期:2017-12-08
    • 文件大小:344064
    • 提供者:刘雅琦
  1. ssb

    1下载:
  2. ssb的调制与解调,包括信号的产生、乘法器、加噪、BPF、解调等部分。-ssb modulation and demodulation, signal generation, multiplier, adding noise, BPF, demodulation section.
  3. 所属分类:其他小程序

    • 发布日期:2013-11-08
    • 文件大小:525
    • 提供者:李梅
  1. coding

    0下载:
  2. 数字通信系统设计上机实验题,二分频,全加器,乘法器,四选一选择器-Digital communication system design on the experimental questions, divide, full adders, multipliers, four elected a selector
  3. 所属分类:Other systems

    • 发布日期:2017-11-24
    • 文件大小:18105
    • 提供者:王冬萍
  1. BCD_ALU

    1下载:
  2. bcd码的ALU单元,包含全加、全减、乘法、除法器-bcd code ALU unit, including All-Canadian, all subtraction, multiplication, division, unit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:52081
    • 提供者:georgeniu
  1. my_multiplier

    0下载:
  2. 一个VHDL编的简单乘法器,基本原理设计如下图所示: 将两个操作数分别以串行和并行模式输入到乘法器的输入端, 用串行输入操作数的每一位依次去乘并行输入的操作数, 每次的结果称之为部分积, 将每次相乘得到的部分积加到累加器里, 形成部分和, 部分和在与下一个部分积相加前要进行移位操作。-A simple multiplier VHDL series, the basic principles of design as follows: two operands, respectively, ser
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-12
    • 文件大小:704
    • 提供者:Justin
  1. pipe_mul

    0下载:
  2. 移位加乘法器的实现;移位加乘法器的流水线结构的实现。代码清晰明了。-multiply verilog RTL;pipelin multiply verilog RTL;good coding stytle
  3. 所属分类:MPI

    • 发布日期:2017-04-13
    • 文件大小:1770
    • 提供者:mayunli
  1. multiplier-ROM--FIFO-memory

    0下载:
  2. 布斯,阵列乘法器,加减交替除法器,以及ROM存储器,FIFO存储器-Booth, array multiplier, divider alternately add and subtract, and ROM memory, FIFO memory
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:19768
    • 提供者:ZY
  1. FloatALU

    2下载:
  2. 用Verilog HDL实现的IEEE754浮点数加减乘除法器-float number alu
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6387616
    • 提供者:糊糊
  1. vlsi-design2

    0下载:
  2. 一位8421BCD编码的十进制数加减法器,电路具有进位、借位功能-A 8421BCD encoding decimal adder subtracter circuit has the function, carry out.
  3. 所属分类:HardWare Design

    • 发布日期:2017-05-05
    • 文件大小:98101
    • 提供者:james stag
  1. Multiplier

    0下载:
  2. 复用全加器来实现乘法器, 通过从右到左互为输入输出,实现低位计算。最左向高位输出。具体要求请参见附带的PDF。-Multiplexing a multiplier to achieve full adder, input and output by each other right to left, the least significant bits is calculated. Most left output to high. Specific requirements Refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-15
    • 文件大小:378880
    • 提供者:Wind
  1. booth

    0下载:
  2. 16位booth乘法器的实现:先将被乘数的最低位加设一虚拟位。开始虚拟位变为零并存放于被乘数中,由最低位与虚拟位开始,一次判定两位,会有4种判定结果。(The 16 bit booth multiplier to achieve: first the least significant bit is added with a virtual position. Start a virtual becomes zero and stored in the multiplicand, startin
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-22
    • 文件大小:1024
    • 提供者:
  1. 加减法器

    0下载:
  2. 可实现两个4bit补码的加法及减法,有溢出提示(adder with overflow hint)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-20
    • 文件大小:239616
    • 提供者:tyne
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com