CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 自动售货机

搜索资源列表

  1. atm

    0下载:
  2. 课程设计:ATM Project源码,有四个独立程序,银行端,货物公司端,ATM取款机端,自动售货机端,应该可以算四个,还有一个VC写的配置ODBC的源吗,另外,请求一个帐号用于下载源吗-Course Design: ATM Project source, there are four independent procedures, the bank client, goods company client, ATM client teller machines, vending machine
  3. 所属分类:Java Develop

    • 发布日期:2017-11-09
    • 文件大小:1357643
    • 提供者:吴俊
  1. autoseller

    0下载:
  2. (1)、自动售货机可以出售4种货物,每种商品的数量和单价在初始化时设定,并存储在存储器中; (2)、采用模拟开关分别模拟5角和一元的硬币进行购物,并通过按键来选择商品; (3)、系统能够根据用户输入的硬币,判断钱币是否够,当所投硬币达到或超过购买者所选面值时,则根据顾客要求自动售货,并找回剩余的硬币,然后回到初始状态。当所投硬币不够时,则给出提示,并通过一个复位键退回所投硬币,然后回到初始状态。 -(1), vending machines can sell four kinds o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:3215
    • 提供者:秦发斌
  1. gx

    0下载:
  2. 这是一个关于自动售货机的C++类设计可能有一点问题希望大家看一看就当锻炼一下自己的能力-This is a vending machine on the C++ Type of design may have a little hope that we take a look at the issue of when the exercise on your own ability
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:52834
    • 提供者:xuyunjin
  1. desek

    0下载:
  2. 自己编的自动售货机,请高手指点一下!-Own vending machines, please click expert advice!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-08
    • 文件大小:10029
    • 提供者:lcl
  1. zishj

    0下载:
  2. 设计一个自动售货机控制程序,它的投币口每次可以投入1元、2元、5元,且规定投入1元或2元后不得再投入5元。当投入总值等于或超过设定值(4元),售货机就自动送出货物并找回多余的钱。-Design a vending machine control program, which each time slot to be injected into the 1 yuan, 2 yuan, 5 yuan, and provides input 1 yuan or 2 yuan may not re-en
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:44603
    • 提供者:asd
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 软件工程项目小组的自动售货机系统需求规格说明书。-Software engineering project team vending machine system requirements specification.
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:559273
    • 提供者:baby
  1. AutomatSystemRequirementSpecification

    0下载:
  2. 课程软件小组自动售货机系统需求分析初稿,供大家分享-Course software group vending machine system the preliminary needs analysis for everyone to share
  3. 所属分类:AI-NN-PR

    • 发布日期:2017-03-30
    • 文件大小:25410
    • 提供者:baby
  1. 63535293shouhuoji

    0下载:
  2. 自动售货机软件测试。只能用1元和5角的硬币进行使用-Vending machine software testing. Can only be 1 yuan and 5 coins for the use of angle
  3. 所属分类:Other systems

    • 发布日期:2017-04-08
    • 文件大小:50856
    • 提供者:我是我
  1. +VHDL

    0下载:
  2. 很详细用VHDL写的自动售货机程序有详细的说明和设计要求实现功能-Very detailed written using VHDL vending machine procedure is described in detail and design requirements for the realization of function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:3260
    • 提供者:linhua
  1. java

    0下载:
  2. 基于java的自动售货机,可自动分辨硬币,选择饮料-Java-based vending machine, can automatically distinguish coins, choice of beverage
  3. 所属分类:Java Develop

    • 发布日期:2017-04-13
    • 文件大小:2686
    • 提供者:sunguochen
  1. auto+sell

    0下载:
  2. VC++实现饮料自动售货机基本功能 VC++实现饮料自动售货机基本功能VC++实现饮料自动售货机基本功能-VC++ Beverage vending machines to achieve the basic functions of VC++ Beverage vending machines to achieve the basic functions of VC++ To achieve the basic functions of beverage vending machines
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-29
    • 文件大小:1830
    • 提供者:何小萍
  1. zidongshouhuoji

    0下载:
  2. 某自动售货机售A,B,C3种商品,他们的价格分别为1,3,4。 售票机进接受一元硬币。售货机面板上设有投币孔和退钱建,每种商品标识处有选择按键,上有指示灯表明当前投币说是否已经足够选买该商品。-A vending machine to sell A, B, C3 kinds of merchandise, their prices were 1,3,4. Into the ticket vending machines to accept one-dollar coins. Vending
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1063
    • 提供者:孙尚龙
  1. softdrink

    0下载:
  2. 自动售货机实现,采用VERILOG语言编写源码,与大家分享,共大家参考-Vending machine implementation, the use of language VERILOG source to share with you a total of U.S. reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:635
    • 提供者:wangdali
  1. zidongxiaoshouji

    0下载:
  2. 自动售货机内部销售程序,包括收银机和售货机!-Vending machine sales of internal procedures, including cash registers and vending machines!
  3. 所属分类:Shop supermarket software system

    • 发布日期:2017-04-28
    • 文件大小:264810
    • 提供者:小马
  1. MachineSales

    0下载:
  2. 自动售货机内部销售程序,包括收银机和售货机!-Vending machine sales of internal procedures, including cash registers and vending machines!
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-17
    • 文件大小:264981
    • 提供者:chgyserest
  1. de1_fsm

    1下载:
  2. 自动售货机的程序,全套,直接下载到板子就可以了!-VHDL for automatic!
  3. 所属分类:其他小程序

    • 发布日期:2013-01-15
    • 文件大小:435087
    • 提供者:查新
  1. shop

    0下载:
  2. 自动售货机控制系统,具有对货物信息的存储,进程控制,硬币处理,余额计算和显示等功能。-Vending machine control system, with information on goods store, process control, coin processing, the balance of the calculation and display functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1738
    • 提供者:dong
  1. sale

    0下载:
  2. 关于自动售货机的报告,内有源程序和DC综合网表图-Report on the vending machine with a DC source and an integrated network chart
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:559999
    • 提供者:小阳
  1. sellmachine

    0下载:
  2. 自动售货机,程序很完美,功能:货物信息存储,进程控制,硬币处理,余额计算,显示等功能-sell machine ,in VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1677
    • 提供者:zhuzi
  1. 8

    0下载:
  2. 8[1] .21 自动售货机 VHDL程序 与仿真.doc-8 [1] [1] .21 vending machine and simulation of VHDL procedures. Doc
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:143390
    • 提供者:fufeifei
« 1 23 4 5 6 7 8 9 10 ... 20 »
搜珍网 www.dssz.com