CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 累加器

搜索资源列表

  1. 83390078DDS

    0下载:
  2. DDS的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(LPF)。频率累加器对输入信号进行累加运算,产生频率控制数据X(frequency data或相位步进量)。相位累加器由N位全加器和N位累加寄存器级联而成,对代表频率的2进制码进行累加运算,是典型的反馈电路,产生累加结果Y。幅度/相位转换电路实质上是一个波形寄存器,以供查表使用。读出的数据送入D/A转换器和低通滤波器。-DDS works
  3. 所属分类:Embeded Linux

    • 发布日期:2017-04-17
    • 文件大小:43774
    • 提供者:394177191
  1. chap3

    0下载:
  2. 一段小小的四位累加器的实现程序,通过仿真测试,免费下载-The realization of a small four accumulator process simulation test, free download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:260403
    • 提供者:尧峰
  1. xiangweileijiaqi

    1下载:
  2. 相位累加器,是数字频率合成器的重要组成部分。这是verilog代码。-Phase accumulator, digital frequency synthesizer is an important part. This is the verilog code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2366
    • 提供者:yanzhengkuaile
  1. Sources

    0下载:
  2. 基于S12单片机和hq7620摄像头的图像采集程序(脉冲累加器溢出中断采集,输入捕捉中断发送数据给PC机)-picture sampling based on S12 MCU and hq7620 using interrupt.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:18586
    • 提供者:曾昭明
  1. dds

    0下载:
  2. 块DDS芯片中主要包括频率控制寄存器、高速相位累加器和正弦计算器三个部分(如Q2220)。频率控制寄存器可以串行或并行的方式装载并寄存用户输入的频率控制码;而相位累加器根据dds频率控制码在每个时钟周期内进行相位累加,得到一个相位值;正弦计算器则对该相位值计算数字化正弦波幅度(芯片一般通过查表得到)。DDS芯片输出的一般是数字化的正弦波,因此还需经过高速D/A转换器和低通滤波器才能得到一个可用的模拟频率信号。 -In the programming step, the electronic
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:4849
    • 提供者:李彦伟
  1. DTMF5410

    0下载:
  2. DTMF 编解码器在编码时将击键或数字信息转换成双音信号并发送,解码时在收到的DTMF 信号中检测击键或数字信息的存在性.采用ccs2.2开发。运行在DES5410APP-U开发版上。 子程序_start_ac01,完成AD 及DSP 的多通道缓冲串口(McBSP)初始化。 子程序_iir_to_dtmf,通过由函数set_freq_coff()初始化的系数,分别迭代运算产生行 频和列频,该子程序计算出的两个频率信号样本值分别放入累加器a 和b,相加之 后作为新的一个音频样本发送
  3. 所属分类:Voice Compress

    • 发布日期:2017-03-30
    • 文件大小:38430
    • 提供者:黄天
  1. DDS

    0下载:
  2. 基于FPGA的DDS的相位累加器详细介绍,是VHDL编程,利用quartus2平台.-Design of Direct digital synthesis Signal Generator
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:381304
    • 提供者:高慧
  1. cpu

    0下载:
  2. 包括1) 时钟发生器 2) 指令寄存器 3) 累加器 4) RISC CPU算术逻辑运算单元 5) 数据控制器 6) 状态控制器 7) 程序计数器 8) 地址多路器 -1) clock generator 2) instruction register 3) accumulator 4) RISC CPU arithmetic logical unit 5) of the data controller 6) state controller 7),
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:440449
    • 提供者:liuying
  1. leijiaqi

    0下载:
  2. 16位流水线加法累加器,用VHDL语言实现,编译仿真通过。-16-bit pipelined adder accumulator, using VHDL language, compiled simulation through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:221265
    • 提供者:liuxing
  1. main

    0下载:
  2. 累加器以邪恶年后和技术第三阶段大奖赛的计算机的-fkgkggkhk
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-13
    • 文件大小:1932
    • 提供者:zhengnan
  1. S12_frequency

    1下载:
  2. S12的脉冲累加器实现测频,模数减法器实现时间基准,精度高,12864显示。-S12 pulse accumulator for detecting frequency modulus subtraction time basis to achieve high precision, 12864 display.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-04-29
    • 文件大小:423589
    • 提供者:lihua
  1. S12_frequency_pulsewide

    0下载:
  2. S12多个脉冲累加器实现频率和脉宽的测量,供网友参考添加功能实现占空比的测量。-S12 pulse accumulator to achieve multiple frequency and pulse width measurement, for users to add functionality to achieve the duty cycle reference measurement.
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:417280
    • 提供者:lihua
  1. S12_RTI_Clock

    0下载:
  2. S12实时时钟实验,S12的另一个实现时间基准的程序,可配合脉冲累加器测频等。-S12 Real-Time Clock test, S12, another realization of the program time base can be measured with the pulse frequency and accumulator.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:405211
    • 提供者:lihua
  1. leijiaqi

    0下载:
  2. 累加器 的VHDL语言源程序~-Accumulator accumulator VHDL language source ~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:232900
    • 提供者:鲁鲁修
  1. nios_dds

    0下载:
  2. 采用Altera的NIOS内核,配合独立的累加器,实现了正弦波,三角波,锯齿波和方波的DDS产生电路,系统时钟最高可达120MHz,配合高速DAC,可产生最高约40MHz左右的波形-Using Altera' s NIOS core, with a separate accumulator, to achieve a sine wave, triangle wave, sawtooth and square wave generation circuit DDS system clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3113548
    • 提供者:Tomy Lee
  1. DAC0832

    0下载:
  2. 利用DAC0832产生三角波,产生的的三角波周期受累加器A的控制,可以通过改变每次加的数值来改变周期,但连续性绘变差-Using DAC0832 produce triangle wave, produce the triangle wave cycle involvement maf implementation of A control, by changing the increment of numerical to change cycle, but continuity paint
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-01
    • 文件大小:1709
    • 提供者:何成照
  1. 1

    0下载:
  2. 已知BUF单元有一个单字节无符号数X,按要求编写一程序段计算(无符号子数据),并将其存于累加器-BUF unit known to have a single-byte unsigned number X, according to calculations prepared in a block (unsigned child data), and stored in the accumulator
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:527
    • 提供者:梦飞琴谷
  1. vhdl2

    0下载:
  2. vhdl语言正弦信号发生器设计,传统的用分立元件或通用数字电路元件设计电子线路的方法设计周期长,花费大, 可移植性差。本文以正弦波发生器为例,利用EDA 技术设计电路,侧重叙述了用VHDL 来完 成直接数字合成器(DDS) 的设计,DDS 由相位累加器和正弦ROM 查找表两个功能块组成,其 中ROM查找表由兆功能模块LPM-ROM来实现。-The traditional use of discrete components or general purpose digital cir
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:94354
    • 提供者:枫蓝
  1. 8CPU

    0下载:
  2. 指令寄存器:在触发时钟的正沿触发下,寄存器将数据总线送来的指令存入寄存器; 累加器用于存放当前的结果,它也是双目运算的一个数据来源; 算术逻辑运算单元根据输入的8种不同操作码实现相应的加、与、异或、或等8种基本操作运算; 状态控制器实际上就是一个状态机,它是CPU的控制核心,用于产生一系列的控制信号,启动或停止某些部件。CPU何时进行读指令、读写I/O端口、对ROM数据的读取等操作,都是由状态机来控制的; -Instruction Register: The trigger is
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:24984
    • 提供者:王金
  1. [2]simplecalculator

    0下载:
  2. 计算器累加器,可视化界面,采用C#编程,可以显示累加结果以及累加过程-Calculator accumulator, visual interface, using C# programming, you can display the process of cumulative results and the cumulative
  3. 所属分类:CSharp

    • 发布日期:2017-04-17
    • 文件大小:44381
    • 提供者:D K
« 1 2 3 45 6 7 8 »
搜珍网 www.dssz.com