CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 累加器

搜索资源列表

  1. add1A

    1下载:
  2. 用于实现锁相光子计数技术的累加器,verilog语言-Accumulator achieve specific cases for accumulator lock detection of photon counting technique
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:1024
    • 提供者:丁雪梅
  1. leijia

    0下载:
  2. 用LabView制作出来的累加器,可以对数进行累加-Using LabView, made an accumulator can be cumulative logarithmic
  3. 所属分类:LabView

    • 发布日期:2017-04-14
    • 文件大小:3683
    • 提供者:风雨
  1. pipeline_add

    0下载:
  2. pipeline式累加器的verilog代码和testbench文件,已验证-pipeline type accumulator verilog testbench code and documents, verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:4118
    • 提供者:adfadf
  1. sin_en

    0下载:
  2. DDS 由相位增量器,相位累加器,量化器以及正余弦查找表四部分组成。 相位累加器每一周期会累加上固定的相位值,然后从查找表中找到对应的数值。-DDS by the phase increment, phase accumulator, quantizer and sine and cosine lookup table of four parts. The phase accumulator accumulates a fixed phase value for each period,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2712174
    • 提供者:panda
  1. TIM

    0下载:
  2. 飞思卡儿 计时器time 的脉冲累加器产生原理的例子,已经测试通过。-Freescale timer time pulse accumulator produces an example of the principle that has been tested.
  3. 所属分类:SCM

    • 发布日期:2017-11-30
    • 文件大小:202526
    • 提供者:陆式
  1. eda

    0下载:
  2. 直接数字频率 相位累加器 寄存器 lpm_rom(Based on VHDL+ FPGA design of the DDS signal has been through mode)
  3. 所属分类:VHDL/FPGA/Verilog

  1. hough

    0下载:
  2. 对图像进行霍夫变换检测直线。遍历图像,把极坐标下点转换到霍夫空间,同时累加器加1,最后统计累加器数组中的极大值点,便对应原图中的直线。(The image is transformed by Hof transform to detect the straight line. Traverse the image, transform the point in polar coordinates to Hof space, accumulate 1 at the same time, and f
  3. 所属分类:图形图像处理

    • 发布日期:2018-01-06
    • 文件大小:671744
    • 提供者:tommyzhu
  1. 15010120041_高瑞雪_lab2

    0下载:
  2. 在本实验中,将使用System Generator for DSP创建一个带乘法器和累加器的12-bit x 8-bit MAC(Multiplier Accumulator),并使用System Generator 的Resource Estimator块来估计资源利用率。 在仿真Simulink中的设计之后,将从该设计中生成VHDL代码和内核,并在Xilinx ISE Foundation开发软件中实现MAC。(Design, construct and verify the specifi
  3. 所属分类:图形图像处理

    • 发布日期:2018-01-06
    • 文件大小:800768
    • 提供者:瑞雪儿
  1. sumexp

    0下载:
  2. e是输入,sum_e是输出,cnt_in是累加数据的个数。这个模块是我(新手)一个项目中用来累加exp(x)的一个模块。(E is the input, the sum_e is the output, and the cnt_in is the number of accumulative data. This module is a module that is used to add exp (x) to a project in my (novice) project.)
  3. 所属分类:其他

    • 发布日期:2018-04-29
    • 文件大小:25600
    • 提供者:HUFT熊大
  1. dds_rom

    0下载:
  2. 基于查找表的DDS的Verilog实现,分为相位累加器模块、ROM模块和顶层DDS模块(Verilog implementation of DDS based on lookup table)
  3. 所属分类:汇编语言

    • 发布日期:2018-05-01
    • 文件大小:3072
    • 提供者:呱啤教教主
  1. pb_lx

    0下载:
  2. 功能:w_1向w_2传参(累加次数)并触发w_2控件cb_1(累加器);w_2控件cb_1计算从1至所接收累加次数的累加值并返回w_1。 目的:说明窗口间相互触发控件及传参、传值的技术细节。(Function: w_1 passes to w_2 (accumulating times) and triggers w_2 control cb_1 (accumulator); w_2 control cb_1 calculates accumulative value from 1 to re
  3. 所属分类:界面编程

    • 发布日期:2018-05-01
    • 文件大小:7168
    • 提供者:zhanghl_zz
« 1 2 3 4 5 6 7 8»
搜珍网 www.dssz.com