CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 锁频环

搜索资源列表

  1. Costa_sss

    1下载:
  2. 科斯塔斯环 有助于载波同步的研究 锁相 载频3.563MHz,初始频率3.5628MHz 绘图显示具体的循环锁相过程 绘图显示相位变化-Costas reference for carrier synchronization fc0=3.563MHz,fc=3.5628Mhz show the process of synchronzation and phase change with figure.
  3. 所属分类:Windows Kernel

    • 发布日期:2017-03-27
    • 文件大小:1130
    • 提供者:张欣蕊
  1. adf4350

    1下载:
  2. adf4133锁相环程序,目前是输出固定的几个频点,如果想改成可以改频的,可以自己加个串口,自己定义协议即可-adf4133 PLL program, is currently a fixed number of output frequency, the frequency can be changed if you want to change, you can add their own serial ports, you can define your own protocol
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:714171
    • 提供者:孙乾
  1. a

    0下载:
  2. PLL350锁相环源码,设置频率、分频比等等其他功能-PLL350 PLL source, set the frequency division ratio, etc. Other features
  3. 所属分类:assembly language

    • 发布日期:2017-04-06
    • 文件大小:1233
    • 提供者:ss
  1. ADtest

    0下载:
  2. FPGA外接20MHz晶振,建立锁相环,再分频得到10MHz和8MHz时钟-I can t.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:398029
    • 提供者:xuyue
  1. xs128PLL-and-pwmInit

    0下载:
  2. xs128利用PLL锁相环技术超频设置及pwm初始化设置-xs128 PLL and PWM init
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:1030
    • 提供者:吕宇宙
  1. dpll2

    0下载:
  2. 数字锁相环的vdhl实现,鉴相器,计数器,压控振荡器,和分频器-Vdhl DPLL implementation, the phase detector, a counter, a voltage controlled oscillator, and a frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:727
    • 提供者:朱小波
  1. dpll

    0下载:
  2. 用verilog编写的全数字锁相环,包括鉴相器,模K计数器,加减脉冲模块和分频模块,都经过验证-verilog based digital phase lock loop design, including phase detector,mode K counter, increment/decrement counter and frequency divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6231
    • 提供者:chi zhang
  1. qpsk-carrier-pick-out

    0下载:
  2. QPSK基带数字相干解调的载波提取_陈教芳 本文介绍了基带数字相干解调的载波恢复锁相环的结构原理,并对其进行了性能分 析.与四倍频方法比较,在相同条件下,前者比后者环路信噪比高5一1odB.实验结果表 明,恢复的载波稳定性良好。 -qpsk carrier pick out
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:155689
    • 提供者:东方
  1. The-principle-of-phase-locked-loop

    0下载:
  2. 主要介绍了锁相环的基本原理,PLL参数测试示例展示,重点分析了CD4046——通用的CMOS锁相环集成电路,MT8870——音调译码器(Tone Decoder)是MITEL 公司所开发生产为一颗常用复频译码IC。-Introduces the basic principles of phase-locked loop, PLL parameter test sample shows, analyzes the CD4046-- generic CMOS PLL IC, MT8870-- ton
  3. 所属分类:Project Design

    • 发布日期:2017-04-24
    • 文件大小:389392
    • 提供者:yang
  1. fp1-40-1_1

    0下载:
  2. fpga任意频率输出,精度《=2 ,串口控制分频系数,从50hz-51.2k精确分频,其中还包括小数点的处理。 通信部分:波特率处理模块、数据接受模块、数据校验及解码模块 分频部分:altpll锁相环模块,分频数计算模块、小数0.5检验模块、分频模块 -fpga any frequency output accuracy " = 2 , serial control division factor, from 50hz-51.2k precision divider, whi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:7121010
    • 提供者:houjiajun
  1. pll_sigma-delta

    1下载:
  2. 这是用simulink仿真的一个小数分频锁相环(fraction_N PLL),使用了sigma-delta modulator和8/9预分频,这只是其中一部分,如果再想实现细节,还有待更深入,可以联系我qq790290115-fraction_N PLL using simulink(2013a),it includes sigma-delta modulator and 8/9 prescaler
  3. 所属分类:matlab

    • 发布日期:2017-04-26
    • 文件大小:18562
    • 提供者:donguuuu
  1. pll_prj

    0下载:
  2. PLL配置仿真实验 PLL,即锁相环。简单的理解,给PLL 一个时钟输入(一般是外部晶振时钟), 然后经过PLL 内部的处理以后,在PLL 的输出端口就可以得到一定范围的时钟频 率。其之所以应用广泛,因为从PLL 输出得到的时钟不仅仅从频率和相位上比较 稳定,而且其时钟网络延时也相比内部逻辑产生的分频时钟要小得多。-Altera FPGA Cyclone
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:128599
    • 提供者:相同
  1. Fast-Switching-PLL-Synthesizer

    0下载:
  2. A 10μs Fast Switching PLL Synthesizer for a GSM/EDGE Base-Station.介绍快速跳频锁相环的非常好的一篇文章!-A 10μs Fast Switching PLL Synthesizer for a GSM/EDGE Base-Station.A very good article on the fast frequency hopping phase-locked loop is introduced!
  3. 所属分类:Project Design

    • 发布日期:2017-04-30
    • 文件大小:169492
    • 提供者:meng
  1. ADF4360-7

    0下载:
  2. 此程序是c8051f330单片机控制adf4360-7锁相环输出固定点频程序 -This program is controlled by MCU This program is c8051f330 single-chip microcomputer control adf4360-7 phase-locked loop frequency output protection program
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-12
    • 文件大小:980
    • 提供者:赵伟
  1. pll_matlab

    0下载:
  2. 采用二阶换仿真锁相环的matlab代码,仿真结果ok,频偏200kHz.-it is used to simulate the PLL system.
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:1141
    • 提供者:张绍龙
  1. PLL_test

    0下载:
  2. 用FPGA实现锁相环分频,将基准时钟频率通过PLL核分频生成多种时钟生成。(Phase-locked loop with FPGA to achieve frequency division, the frequency of the reference clock through the PLL core frequency to generate a variety of clock generation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-18
    • 文件大小:235520
    • 提供者:棋墨黑白
  1. mash

    0下载:
  2. MASH源码应用于小数分频的锁相环,可以了解小数分频算法噪声分布情况。(The source code of MASH is applied to the phase-locked loop of decimal frequency division, which can understand the noise distribution of the decimal frequency division algorithm.)
  3. 所属分类:其他

    • 发布日期:2018-04-21
    • 文件大小:1024
    • 提供者:kevinone
  1. ADF4355 数据手册

    0下载:
  2. ADF4355是微波宽带(54-6800MHz)可实现小数N分频或整数N分频锁相环(PLL)的频率合成器,高分辨率38位模数,低相位噪声电压控制振荡器(VCO),可编程1/2/4/8/16/32/64分频输出,模拟和数字电源为3.3 V,主要用在无线基础设施(W-CDMA,TD-SCDMA,WiMAX,GSM, PCS,DCS,DECT),点到点/点到多点微波链路(ADF4355 microwave broadband (54-6800 MHZ) can realize the decimal
  3. 所属分类:单片机开发

    • 发布日期:2018-04-30
    • 文件大小:764928
    • 提供者:悟与
  1. A7302_01_Reference code for A7302B

    0下载:
  2. A7302C是一个非常易于使用的CMOS射频发射机子1 ghz许可免费ISM波段(315/433MHz)。 这是一个fsk/ask与四步可编程单片射频发射机,功率放大(max 10 dbm @ 433 mhz)。 这个设备集成了一个完全压控振荡器和锁相环合成器。(A7302C is a very easy to use CMOS RF transmitter with 1 GHz licensed free ISM band (315/433MHz). This is a fsk/ask and
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:462848
    • 提供者:knoe
  1. FPGA等精度频率计

    0下载:
  2. 先预置一个闸门信号,将该闸门信号作为D触发器的输入端,将被测信号作为D触发器的时钟,当闸门信号有效的时候(即从0到1的时候),在被测信号的上升沿来临的时候,闸门信号被送到D触发器的Q端口。D触发器的Q端口分别连接两个计数器,一个计数器对基准时钟计数(板子上的50M时钟或者用锁相环倍频后的高速时钟),另一个计数器对被测信号计数。当闸门信号有效被送到Q端口的时候,使能这两个计数器进行计数,当基准时钟计数到1s的时候,闸门信号拉低,无效(产生时间宽度为1s的闸门),计算这1s的时间内,被测信号计数了多
  3. 所属分类:VHDL编程

« 1 2 3 4 5 6»
搜珍网 www.dssz.com