CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程

资源列表

« 1 2 ... .31 .32 .33 .34 .35 32636.37 .38 .39 .40 .41 ... 33645 »
  1. xilnx_sata

    1下载:
  2. xilinx 的sata解决方案,已对其中内容作了修改,可实现综合-sata the xilinx solutions have been made to amend the contents of which can be used
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-29
    • 文件大小:65198
    • 提供者:张峰
  1. aurora_ipcore_dir

    1下载:
  2. xilinx v5下面,一个基于aurora通信的实现代码-implement of aurora in xilinx
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:19686
    • 提供者:xu
  1. xapp870

    1下载:
  2. xilinx v5上sata link 初始化文档-Xilinx Sata link initilization guide
  3. 所属分类:VHDL编程

    • 发布日期:2012-10-29
    • 文件大小:1167421
    • 提供者:zhangxinxin
  1. i8255_verilog

    1下载:
  2. 8255的Verilog hdl源代码,适合FPGA工程师使用-8255' s Verilog hdl source code for FPGA engineers
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-20
    • 文件大小:4096
    • 提供者:彭涛
  1. LVDS

    1下载:
  2. 高速串行差分接口(HSDI)设计实例,用QUARTUS和利用FPGA实现LVDS的方法。-High-speed serial differential interfaces (HSDI) design example implementation using FPGA LVDS QUARTUS and use of the method.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:323811
    • 提供者:天一生水
  1. de2-sd-mp3player

    1下载:
  2. de2板上,先存入sd卡,实现的MP3播放器功能-de2,sd2,MP3player
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3172105
    • 提供者:李杨锋
  1. DE2_i2sound-g5

    1下载:
  2. 通过de2板上的wm8731,42阶音量可调,mic和dac同时输出。-By de2 board wm8731, 42 stage adjustable volume, mic and dac output simultaneously.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-11
    • 文件大小:51200
    • 提供者:夏洛
  1. CCD-driver

    1下载:
  2. CCD芯片驱动VHDL程序,CCD型号TC253SPD -CCD chip driver VHDL program, CCD models TC253SPD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:415876
    • 提供者:路政西
  1. tPad_Camera

    1下载:
  2. tPad DE2-115/70开发板可用的摄像头采集、显示程序,QT10.0以上环境可用,原装代码,可以进行修改加以使用,如使用到倒车影像系统中,视频显示等。-tPad DE2-115/70 development board available cameras capture, display program, QT10.0 over the environment is available, the original code can be modified to be used, such
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:391027
    • 提供者:杨平平
  1. jow_order

    1下载:
  2. 这是我准备电子设计大赛时,用VHDL写的一个自动打铃系统,很好的学习资料。-This is when I am going to Electronic Design Contest, use VHDL to write an automatic bell playing system, a very good learning materials.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3398221
    • 提供者:shuwei
  1. sopc_uart_rt

    1下载:
  2. sopc的一个应用例程:应用uart部件搭建的一个sopc系统,调试成功了。包含所有源代码-An application of routine sopc: Application uart component erected a sopc system, commissioning a success. Contains all the source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-27
    • 文件大小:10632442
    • 提供者:王乐
  1. clk_vhdl

    1下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的数字钟工程项目,有50MHz分频、计数、译码等模块。采用VHDL语言编写。-Quartus II project files, is a typical FPGA-based digital clock project, there are sub-50MHz frequency, counting, decoding modules. Using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-03
    • 文件大小:652741
    • 提供者:kg21kg
« 1 2 ... .31 .32 .33 .34 .35 32636.37 .38 .39 .40 .41 ... 33645 »
搜珍网 www.dssz.com