CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 全加器

搜索资源列表

  1. cpu

    0下载:
  2. 用全加器设计8位运算器逻辑电路图 2、根据逻辑电路用 VHDL编程实现 3、调试编译通过后,仿真 -this file can help you learn the design of cpu
  3. 所属分类:matlab

    • 发布日期:2017-03-25
    • 文件大小:247886
    • 提供者:linxiaoxuan
  1. EDA

    0下载:
  2. 课程实验,VHDL语言实现半加器全加器,频率计等,共四个-eda
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2383447
    • 提供者:王丹
  1. for_ws

    0下载:
  2. 裡頭有加法器,全加器,rippple adder-full adder ,rippple adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:5109
    • 提供者:鍾潤宏
  1. Adder4

    0下载:
  2. 源码,内容是用VHDL语言编写的四位全加器-Source code, using VHDL language of the four full-adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:5318
    • 提供者:
  1. Full_adder

    0下载:
  2. 全加器的VHDL逻辑编程,外加两个全功能,这个过程有些简单,但可能有一些初学者的帮助。-Full adder VHDL logic programming, plus two full-function, this process some simple, but there may be some beginners help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1434
    • 提供者:孔凯敏
  1. ex1.v

    0下载:
  2. 用Verilog HDL 实现的4位二进制全加器。-4-bit full adder implemented with Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:733
    • 提供者:gb18030
  1. experiment1

    0下载:
  2. VHDL实验一,利用原理图输入法设计4位全加器-VHDL test 1, use of schematic input 4-bit full adder design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:495834
    • 提供者:童长威
  1. full_aller

    0下载:
  2. 这是基于VHDL的一位全加器设计的程序,分析过程全面-This is based on a full adder VHDL design process, a comprehensive analysis process
  3. 所属分类:Other systems

    • 发布日期:2017-05-16
    • 文件大小:4450905
    • 提供者:lan
  1. FullAdder

    0下载:
  2. 设计全加器电路 有需要的同学可以下载来-Full adder circuit design students need to see is available for download
  3. 所属分类:source in ebook

    • 发布日期:2017-04-15
    • 文件大小:7563
    • 提供者:Xin Lu
  1. f_adder

    0下载:
  2. 1位全加器,原理图设计,包括波形仿真,和打包,可以直接在Quartus6..0中直接使用-A full adder, schematic design, including the waveform simulation
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:152910
    • 提供者:刘涛
  1. sy1_yt

    0下载:
  2. 在max-plus 环境下使用vhdl语言实现用半加器组成全加器的功能。-In the max-plus environment, using vhdl language component with half adder full adder function.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:81971
    • 提供者:cy
  1. ALU

    0下载:
  2. 算术逻辑部件的verilog代码,它能够实现半加器、全加器、比较、按位与、按位或、按位异或、加一、减一的操作-Arithmetic logic unit of the verilog code, it can achieve half adder, full adder, compare, bitwise and, bitwise or, bitwise xor, plus one, minus one operation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:169824
    • 提供者:李鹏飞
  1. fadd16

    0下载:
  2. 实验用16位全加器的VHDL代码,适合初学者学习,数电学习的好工具。 -Experiment with 16-bit full adder VHDL code for beginners to learn, a good tool to learn a few power.
  3. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:3464
    • 提供者:陈峥
  1. Verilog

    0下载:
  2. 各类verilog源代码 计数器,全加器,串行快等。-All verilog source code counter, adder, serial quick.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:21110
    • 提供者:王腾
  1. fulladde

    0下载:
  2. 全加器源代码,VHDL语言编写,有需要的参考参考-Full adder source code, VHDL language, the need to reference information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:119362
    • 提供者:小马
  1. FPGA1

    0下载:
  2. 4位全加器 仿真波形一点问题都没有 我调试过-ADD
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:186323
    • 提供者:gcc
  1. adder

    0下载:
  2. 完成8位全加器功能,从最底层的半加器到1位全加器在到8位全加器的完整设计-adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:401532
    • 提供者:Saint Zhang
  1. ex15

    0下载:
  2. 四位全加器的集成版图设计,基于tanner软件平台的layout设计,欢迎下载-The integration of four full adder layout, tanner software platform based on layout design, please download
  3. 所属分类:Goverment application

    • 发布日期:2017-04-08
    • 文件大小:6068
    • 提供者:杨川
  1. cadence_multi-threshold

    1下载:
  2. linux下(fedora版本)的cadence中编译4位全加器的实现, 在不同的阈值电压调解下观察点路的总体power和速度,以及逻辑的正确性. 可能会用到NCSU的FREEPDF工具包-this is a package of three projects, low-vth, high-vth, and optimum architecture vth four bit full adder design. In the environment of Cadence and then sim
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-16
    • 文件大小:4352566
    • 提供者:ququmo
  1. qj

    0下载:
  2. 全加器。使用Vhdl语言实现数字电路全加器功能,算法比较简单,供初学者参考。-Full adder. Digital circuits using Vhdl language full adder function, the algorithm is relatively simple for advanced users.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:147436
    • 提供者:xxc
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 21 »
搜珍网 www.dssz.com