CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 全加器

搜索资源列表

  1. quanjiaqi

    0下载:
  2. 全加器的详细设计思路和用VHDL语言编写的详细源代码-increase for the whole of the detailed design ideas and the use of VHDL for preparing a detailed source code
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:219571
    • 提供者:王为
  1. 399

    0下载:
  2. 用VHDL编写的8位全加器,数字分频器等程序-VHDL prepared by the eight All-Canadian, digital dividers procedures
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:570095
    • 提供者:骷髅
  1. 5-2-2ModelSim

    0下载:
  2. MODELSIM 环境下的Verilog 源代码,实现全加器功能-MODELSIM environment Verilog source code, the entire increase functionality
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:13659
    • 提供者:wuhao
  1. 5-2-2Syn

    0下载:
  2. synplify环境下 实现 全加器 功能-synplify environment to achieve full functionality increases
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:7505
    • 提供者:wuhao
  1. add_sub_lab2

    0下载:
  2. 实验课的作业,包括半加器、全加器、加/减法器,使用逻辑图和VHDl描述,包括分析和报告。-experiment include the operation of a half adder, full adder, plus / subtraction device, and the use of logic diagram VHDl descr iption, including analysis and reporting.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:60734
    • 提供者:徐轶尊
  1. jiafaqi

    0下载:
  2. 实现四位加法器的VHDL代码,里面含有全加器的代码-achieve four Adder VHDL code, which contains the full adder code
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:828
    • 提供者:丘志光
  1. multi4

    0下载:
  2. fulladder.vhd 一位全加器 adder.vhd 四位全加器 multi4.vhd 四位并行乘法器-fulladder.vhd a full adder adder.vhd four full adder mult i4.vhd four parallel multiplier
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1516
    • 提供者:杨奎元
  1. 128bitminus

    0下载:
  2. 128乘法模拟器 c M位乘N位不带符号整数的阵列乘法中加法---移位操作的被加数矩阵.每一部分乘积项ab叫做一个被加数.m*n个被加数可以用m*n个”与门”并行的产生. 以5位乘5位不带符号的阵列乘法器(m=n=5)为例(如下图): FA为一位全加器,FA的斜线方向为进位输出,竖线方向为和输出,而所有被加数项的排列和正常的A*B=P乘法过程中的被加数矩阵相同.图中用矩形围成的阵列中最后一行构成一个行波进位加法器,其时间延迟为(n-1)2T.当然,为了缩短加法时间,最后一行的行
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:47357
    • 提供者:fred
  1. 4bitadd

    0下载:
  2. 4位全加器原码,包括仿真码和4位计数器码。-four full adder original code, including the simulation code and four counter code.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3141
    • 提供者:尹以茳
  1. fulleradder

    0下载:
  2. 本程序以Modelsim为开发平台,采用VHDL为开发语言,实现了简单的全加器.适合初学Modelsim的同行-Modelsim the procedures for the development of a platform for the development of VHDL language, achieving a simple full adder. Suitable for a novice counterparts Modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:31480
    • 提供者:刘小军
  1. 10vhdlexamples

    0下载:
  2. 10个VHDL程序实例,包括加法器,全加器、函数发生器,选择器等。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:42179
    • 提供者:petri
  1. Afixed-pointbasecomplementdivider

    0下载:
  2. 由寄存器,全加器,移位寄存器,计数器,触发器和门电路构成补码一位除法器,将开关设定的补码形式出现的除数,被除数存入相应寄存器中.能用单脉冲按步演示运算全过程.
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:143809
    • 提供者:JOE
  1. h_adder

    0下载:
  2. 本文件包是在MAX+plus II 软件环境下实现全加器的逻辑功能
  3. 所属分类:其它

    • 发布日期:2014-01-16
    • 文件大小:13185
    • 提供者:罗理平
  1. add_1p

    0下载:
  2. 2级流水线实现的8位全加器的VHDL代码,适用于altera系列的FPGA/CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1369
    • 提供者:wgx
  1. add_2p

    0下载:
  2. 2级流水线,使用4元件实现的22位全加器的VHDL语言实现,适用于altera的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1761
    • 提供者:wgx
  1. add_3p

    0下载:
  2. 3级流水线,含4元件的22位全加器的VHDL语言实现,适用于altera系列的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1952
    • 提供者:wgx
  1. add_ff8cin

    0下载:
  2. 触发器实现的,8位全加器的VHDL语言实现,适用于altera系列的FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:883
    • 提供者:wgx
  1. quanjia

    0下载:
  2. 全加器,使用宏功能模块,并附有波形仿真图
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:92729
    • 提供者:谢小川
  1. myproject

    0下载:
  2. 四位全加器,VHDL语言,max+plusII平台做的
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:56500
    • 提供者:邱飞
  1. zxfg

    0下载:
  2. 四位全加器语言描述是以文本方式上传的,呵呵,希望大家有帮助
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:775290
    • 提供者:古银河
« 1 2 34 5 6 7 8 9 10 ... 21 »
搜珍网 www.dssz.com