CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. adder

    0下载:
  2. verilog 加法器设计 在modelsim下方针-verilog adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1039199
    • 提供者:兰书明
  1. VHDL02

    0下载:
  2. 加法器和全加器参考程序,由VHDL代码编写。初学者可以看一看。内容无毒,下载请杀毒使用。-Adder reference procedures, prepared by the VHDL code. Beginners can take a look at. Content-free, download antivirus, please use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:990
    • 提供者:yanyinhong
  1. alu

    0下载:
  2. 加法器FPGA 实现,精简,快速,高效,有仿真文件-adder base on FPGA ,verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:546
    • 提供者:lijiaming
  1. floating_point_adder

    1下载:
  2. 该代码描述了一个浮点加法器的功能,浮点格式采用IEEE标准-The code describes a floating-point adder function, the use of IEEE standard floating-point format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1372
    • 提供者:钟毓秀
  1. Paralleladder

    0下载:
  2. 并行加法器VHDL代码,可实现五位加法运算-VHDL code parallel adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:739
    • 提供者:赵珑
  1. Serialadder

    0下载:
  2. VHDL语言串行加法器 可以实现五位加法运算-Serial adder five addition operations can be achieved
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:721
    • 提供者:赵珑
  1. two_d_dct_serial

    0下载:
  2. 二维DCT变换,采用查找表的方法实现算法,分别通过列变换,再通过行变换,通过加法器乘法器以及流水线技术得出更快的结果!-two-dimention DCTtransform,the algorithm was implemented by look up table,via row trasforming and colum trasforming respectively
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:23110
    • 提供者:chenguohao
  1. 67506232

    0下载:
  2. 8位加法器的原代码,主要内容下载看了就知道-8-bit adder of the original code, the main contents of Download read on to know
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5999
    • 提供者:hbei
  1. jiafaqi

    0下载:
  2. Verilog 16位超前进位加法器源码-Verilog 16 bit CLA source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4236
    • 提供者:黎德才
  1. adder4

    0下载:
  2. 四位加法器,适合初学者学习使用,包括实验要求,四位加法器程序代码,QuartusII功能仿真后的波形图。-Four adder, suitable for beginners learning to use, including the experimental requirements, the four code adder, QuartusII functional simulation of the wave after.
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:47483
    • 提供者:赵剑平
  1. PLUS

    0下载:
  2. MFC实现加法器,优化了界面,可实现大数运算,并且有初始设定-MFC achieve plus device
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-03
    • 文件大小:224603
    • 提供者:weinan
  1. VHDL100

    0下载:
  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数-VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:307161
    • 提供者:lsp
  1. add

    0下载:
  2. 采用VHDL语言写的ADD加法器,并有原理图式-VHDL language used to write the adder ADD and the principle of schema
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:155783
    • 提供者:望天
  1. adder_2

    0下载:
  2. 这是一个加法器模块,实现用户所需要的加法功能-This is an adder module, the user needed to achieve additive function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4683
    • 提供者:邱波
  1. Adder_Verilog

    0下载:
  2. 对于Verilog初学者非常实用的代码,帮助了解许多常用的加法器-Very useful for beginners Verilog code to help understand the many commonly used adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:1673
    • 提供者:周士威
  1. adder16b

    0下载:
  2. 潘松那本书上用vhdl语言描述的16位并入并处加法器-Pan book vhdl language used to describe the 16-bit adder into his
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:141959
    • 提供者:xuhongteng
  1. traffic_lights

    1下载:
  2. Verilog语言3个程序,包括4位二进制的BCD码加法器,ALU位片,交通信号灯。既有源码也有word文档说明。-Verilog language three procedures, including 4-bit binary code of the BCD adder, ALU-bit chip, traffic lights. Only source documents that have word.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1596670
    • 提供者:郭函
  1. dds_first

    0下载:
  2. 用vhdl语言,通过加法器和寄存器实现fpga的dds功能-Using vhdl language, and register through the adder to achieve the fpga functional dds
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:475276
    • 提供者:邢旭
  1. systemc

    1下载:
  2. Systemc实现一个加法器,一个乘法器,一个十选一器,并在testbench内检测其正确性。 适用于systemc入门。-Using Systemc for the realization of a adder, a multiplier, a decimator, and within a testbench for their functionalities . Designed for Systemc or C++ beginner .
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2016-05-15
    • 文件大小:2740
    • 提供者:安丽华
  1. chengfaqi

    0下载:
  2. 本乘法器最大的特点是将乘法器分解为数个加法器,这样节省了大量的逻辑资源-The greatest feature of this multiplier is to break down a number multiplier adder, so that the logic of saving a great deal of resources
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:853935
    • 提供者:xk
« 1 2 ... 12 13 14 15 16 1718 19 20 21 22 ... 50 »
搜珍网 www.dssz.com