CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 加法器

搜索资源列表

  1. PPT

    0下载:
  2. 大学EDA课程的课件以及课后部分习题的程序。包括最基本的加法器、计数器、LED显示以及部分高级VHDL程序。-University of EDA software programs, as well as some after-school exercise procedures. Including the most basic adder, counter, LED display, as well as some high-level VHDL procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-25
    • 文件大小:8547157
    • 提供者:寂静的璀璨
  1. mul

    0下载:
  2. 用VHDL语言实现十六位加法器(移位相加法)-VHDL language with Multiplier (Shift sum method)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:27501
    • 提供者:齐娜
  1. mips

    0下载:
  2. 实现了一个简单的微处理器的功能,l里面有累加器,加法器,寄存器-adgfdhgjjj jdhjhgdkhgjhgjhgkjhgkgkh
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1063047
    • 提供者:leixueyan
  1. Mars-EP1C6-F_code1

    0下载:
  2. 此包中为FPGA学习板中的基础实验代码.共包括8个实验源代码:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机和四位比较器.-In this package for the FPGA board to study the basis of the experiment code. A total of eight experiments, including source code: 8-bit priority encoder, multipliers, mul
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1099584
    • 提供者:sunxh092
  1. 1_ADDER

    0下载:
  2. vhdl 加法器 vhdl 加法器 vhdl 加法器-vhdl adder vhdl adder vhdl adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:22751
    • 提供者:jiang
  1. suocunqi

    0下载:
  2. 加法器,是一个全新的加法器,在没有乘法器的原理之下,是很有用的一个软件-if bad
  3. 所属分类:Applet

    • 发布日期:2017-04-05
    • 文件大小:46622
    • 提供者:孤杲
  1. lianxi

    0下载:
  2. 该程序是用VHDL语言实现一个四位整数的加法器代码-adder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:42031
    • 提供者:lxz
  1. test4adder

    0下载:
  2. 用VHDL实现的加法器,可以进行减法运算,运算结果通过数码管显示,由于设计时的按键较少,所以运算的范围比较小,只能计算64以内的加减法运算,可以作为学习资料来参考。-Adder using VHDL implementation can be carried out subtraction, calculation resulted in the adoption of digital tube display, due to the design of the keys relatively
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1573950
    • 提供者:周峰
  1. verilogcode

    0下载:
  2. 包含了加法器、移位寄存器、时钟等Verilog源代码。-Verilog code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:35386
    • 提供者:洪涛
  1. testadder

    0下载:
  2. VHDL语言编写的加法器与测试代码,测试可用-Adder VHDL language and test code, the test can be used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1322
    • 提供者:hank
  1. ADD

    0下载:
  2. 加法器,实现了基本的二进制加法,带有进位-Adder to achieve the basic binary addition with carry
  3. 所属分类:Document

    • 发布日期:2017-04-05
    • 文件大小:126246
    • 提供者:龙一
  1. jiajianfaqi

    0下载:
  2. 利用VHDL语言设计的两位加减法器,设计采用BLOCK并行设计可以同时进行加法与减法运算-VHDL language design using addition and subtraction of two instruments used, designed using BLOCK parallel design can be done concurrently addition and subtraction
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:637
    • 提供者:庞潮
  1. adder

    0下载:
  2. 用vhdl实现加法器的功能,程序简介高效,移植性强-Vhdl adder with the realization of the function, procedures for efficient, portable and strong
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1037
    • 提供者:keyes wang
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. 1001

    0下载:
  2. 加法器,实现两个整数相加并输出结果!两个整数用a, b表示-failed to translate
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-17
    • 文件大小:154051
    • 提供者:sword
  1. project

    0下载:
  2. 利用VHDL实现三个简单的程序:BCD加法器;ALU算术逻辑单元;简单密码锁设计,具有输入密码和数据比较两种功能,由M决定是写入还是开锁。而数据写入是采用列地址与输入数相结合的的方法,存入初始密码;开锁时,密码以输入,再输入的数据逐个与输入的一组数据比较,完全吻合则开锁。-The use of VHDL to accomplish three simple procedures: BCD adder ALU arithmetic logic unit simple lock design,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:159263
    • 提供者:张晓风
  1. ks_tree

    0下载:
  2. 树形加法器 非常实用 进过测试可以达到很高的频率 可直接应用于乘法器电路-ks_tree
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-16
    • 文件大小:4556670
    • 提供者:李文民
  1. jiafaqi

    0下载:
  2. 哈尔滨工业大学计算机设计与实践实验,4位并行加法器-Harbin Institute of Technology computer design and practice of experiments, 4-bit parallel adder
  3. 所属分类:MPI

    • 发布日期:2017-03-27
    • 文件大小:822
    • 提供者:
  1. add

    0下载:
  2. 模块采用8 位超前进位加法器实现快速加法运算-Modules use 8-bit adder cascaded fast addition operation
  3. 所属分类:assembly language

    • 发布日期:2017-04-03
    • 文件大小:1359
    • 提供者:caofangfang
« 1 2 ... 13 14 15 16 17 1819 20 21 22 23 ... 50 »
搜珍网 www.dssz.com