CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. practise

    0下载:
  2. FPGA实验板设计一个数字跑表。根据题目要求利用VHDL语言设计出一个系统,包括分频器,开关消抖,使能控制,计数器,锁存器,数据选择器及显示译码器。-FPGA experimental board design a digital stopwatch. According to subject the use of VHDL language to design a system, including the divider, switch debounce, enable control, c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6654157
    • 提供者:郑晓
  1. key_scan

    0下载:
  2. 这是一个FPGA的按键扫描程序,无需延时就可以实现软件消抖,是一种创新的消抖新方法!-This is a FPGA key scan program, without delay can achieve software to shake, is a new method for the elimination of innovation!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:10433
    • 提供者:xuin
  1. button_led

    0下载:
  2. 3*4矩阵键盘控制3*4LED发光二极管的点亮,内含延时和消抖,单片机开发初学者资料。-3*4Matrix keyboard control the lighting of 3*4LED
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:661
    • 提供者:庞祥峰
  1. key_debounce-source-code

    0下载:
  2. 这是fpga按键消抖的源代码,在很多fpga按键实验中都可以用到,能够进行代码移植。-This is the source code of the FPGA buttons, in many FPGA key experiments can be used, and can carry out code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2987181
    • 提供者:刘东
  1. ShakingCompensation

    0下载:
  2. 视频消抖,适合初学者,基本都是MATLAB的程序,原图可替换-Video debounced, suitable for beginners, basically MATLAB program, original alternative
  3. 所属分类:Special Effects

    • 发布日期:2017-04-30
    • 文件大小:26704
    • 提供者:阿寻
  1. FangDou_reg

    0下载:
  2. 使用Verilog语言编写的机械按键防抖程序,采用移位寄存器的方法进行消抖。-Verilog language using mechanical keys stabilization program, the method of using a shift register eliminate shaking.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:259724
    • 提供者:zhangbiao
  1. Display

    0下载:
  2. CPLD按键消抖控制,数码管显示。已调试通过。可直接使用-CPLD key consumer shake control, digital tube display. Debug through. Can be used directly
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:296301
    • 提供者:将成
  1. ex2_key

    0下载:
  2. 该实验需要实现一个简单的三个按键分别控制三个发光二极管亮或暗的控制。 例如, 按键 1 控制发光二极管 1。 上电初始发光二极管 1 不亮, 当检测到按键 1 被按下后, 发光二极管 1 则点亮, 按键 1 再次被按下时,发光二极管 1 则不亮,如此反复。 该实验需要把握好按键消抖检测的设计技巧。 -The experiment needs to implement a simple three buttons control the three LEDs light or dark contr
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:306192
    • 提供者:贺亚晨
  1. DEBOUNCING

    0下载:
  2. 设计到键盘按键的消抖程序功能实现只有一个压缩包哦-Program features designed to eliminate jitter keyboard keys to achieve
  3. 所属分类:Other systems

    • 发布日期:2017-04-12
    • 文件大小:535
    • 提供者:pengxiang
  1. debounce

    0下载:
  2. 用Verilog实现的消抖程序的例子,用Verilog实现的消抖程序的例子-Verilog debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-24
    • 文件大小:7528678
    • 提供者:下一个雨天
  1. key_piano

    0下载:
  2. 用verilog实现的键盘扫描程序,加了消抖防止误触发-With Verilog keyboard scanning procedures, with the elimination of jitter to prevent false trigger
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:719
    • 提供者:李龙威
  1. Key-debounce-display

    0下载:
  2. 按键通过状态机消抖,通过数码管将值显示出来。已经调试好,可直接用-Key debounce state machine, by the value of the digital display. Debugging has been good, can be directly used
  3. 所属分类:DNA

    • 发布日期:2017-05-03
    • 文件大小:548104
    • 提供者:龙源
  1. class09_A

    0下载:
  2. Verilog 状态机编写按键消抖,并且testbench-Verilog write key debounce
  3. 所属分类:Other systems

    • 发布日期:2017-05-01
    • 文件大小:126952
    • 提供者:马鹤鸣
  1. key_filter

    0下载:
  2. 用于FPGA的按键消抖的Verilog文件,经过modelsim仿真和下板验证。-Verilog file for FPGA key debounce, after modelsim simulation and verification under the plate.
  3. 所属分类:Parallel Port

    • 发布日期:2017-04-29
    • 文件大小:447860
    • 提供者:杨福廷
  1. CPU_Project_board

    0下载:
  2. CPU 5级流水线实现(加hazard处理与板级验证,板级验证带有按键消抖)-5-stage pipelined CPU (plus hazard dealing with board-level verification, board-level verification with key debounce)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:14828
    • 提供者:吴国文
  1. del_skew

    0下载:
  2. 按键消抖的verilog代码,在fpga开发板上可用,有按键功能的设计如果不消除抖动,可能会造成误触发-a cut key skew verilog code ,it can work on fpga card,key cut skew is very importent,the design may have error without the code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-12
    • 文件大小:841
    • 提供者:lee
  1. debounce

    0下载:
  2. 按键消抖是fpga学习 乃至编程语言学习的重要之重 我自己用的一个消抖程序真的很棒 希望对你有用 -Key jitter is an important FPGA learning and even programming language learning important to my own use of a shake out process is really great to be useful to you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6240362
    • 提供者:Gent Liu
  1. sp6ex6

    0下载:
  2. 按键消抖与LED开关实例,5个导航按键的按下与 否,对应控制LED D2/D3/D4/D5/D6的亮灭切换-Key debounce switch with LED example, 5 navigation keys and press No, the corresponding LED control D2/D3/D4/D5/D6 light off switch
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-30
    • 文件大小:227245
    • 提供者:chi
  1. wave

    0下载:
  2. 通过扫表输出多种波形,按键控制波形频率幅度以及种类,按键消抖有待完善-a kind of wave output, could be controled by four bottom
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4653395
    • 提供者:轻语
  1. scankeyboard

    0下载:
  2. C语言键盘扫描程序 通用性强 消抖连按 功能均可简单实现-scan keyboard
  3. 所属分类:ADO-ODBC

    • 发布日期:2017-04-15
    • 文件大小:4815
    • 提供者:qqi1i573zhi
« 1 2 ... 9 10 11 12 13 1415 16 »
搜珍网 www.dssz.com