CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. StatusMachine

    0下载:
  2. AVR单片机,状态机通过判断按键状态实现按键消抖-AVR microcontroller, state machine to determine the key state key debounce
  3. 所属分类:SCM

    • 发布日期:2017-12-04
    • 文件大小:21144
    • 提供者:qutianci
  1. 315M-TX

    0下载:
  2. 我是做射频的,从来没有写过单片机,第一次写的单片机程序。 原理图是315M发射电路,类似PT2262,但和PT2262的发射时序不同。原理图上面有详细说明。 C程序的按键扫描没有加消抖,麻烦指导一下。 程序写的很傻瓜很傻瓜,希望大侠不要笑话我。-I do RF, never wrote a single-chip microcontroller program, first written. Schematic 315M transmitting circuit,
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:1107
    • 提供者:mr zhang
  1. Key

    0下载:
  2. 此段代码为msp430的按键模块,中断模式,软件消抖,适合于430初学者,欢迎下载-The This code msp430 the key module, interrupt mode, software debounce suitable for 430 beginners, are welcome to download
  3. 所属分类:SCM

    • 发布日期:2017-11-22
    • 文件大小:12667
    • 提供者:王宇
  1. Key

    0下载:
  2. 按键是单片机系统最常用的输入设备之一;几乎是只要需要交互输入,就必须有键盘。这篇博客实现了一个通用的键盘程序,只要提供一个读取键值的函数(底层键值),程序将完成消抖、存入队列等一些列处理。同时本程序提供最常用的4*4矩阵键盘的程序,和4个按键的程序。 -The key is, one of the the SCM system most commonly used input device almost as long as the need for interactive input,
  3. 所属分类:SCM

    • 发布日期:2017-11-23
    • 文件大小:12612
    • 提供者:wangpeng
  1. thekeyVHDL

    0下载:
  2. 主要是延迟部分用于机械键盘输入时震荡消抖,防止错误输入。-the keyboard
  3. 所属分类:Project Design

    • 发布日期:2017-12-02
    • 文件大小:1168
    • 提供者:kongkong
  1. keypress

    0下载:
  2. 基于状态机的按键处理程序。克服延时消抖的效率低下-State machine based on the key handler. Overcome the delay debounce inefficient
  3. 所属分类:SCM

    • 发布日期:2017-11-15
    • 文件大小:1342
    • 提供者:yejiabing
  1. key

    0下载:
  2. VHDL按键消抖程序,文中提到了两种方法。-VHDL key the debounced program, mentioned two methods.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-13
    • 文件大小:29142
    • 提供者:韩帅
  1. 5jq-893-001H

    0下载:
  2. 键盘码读取,消抖,回传。--Read keyboard value.-Read keyboard value.
  3. 所属分类:assembly language

    • 发布日期:2017-11-08
    • 文件大小:40928
    • 提供者:我爱宁宁
  1. jisuanqi

    0下载:
  2. 用51上用c控制74595实现了一个简单的计算器,并用检测跳变沿的方式对按键进行消抖。-Debounce c control 51 74 595 to achieve a simple calculator and detection hopping along the keys.
  3. 所属分类:Other systems

    • 发布日期:2017-11-19
    • 文件大小:1920
    • 提供者:刘延飞
  1. anjianxiaodou

    0下载:
  2. 基于verilog的按键消抖\\\\\\代码-Based on the verilog key debounce \ \ \ \ \ \ code
  3. 所属分类:Other systems

    • 发布日期:2017-11-27
    • 文件大小:593
    • 提供者:王玲
  1. code-amplifier

    0下载:
  2. 该程序适用于运算放大器电路,控制增益倍数以及按键消抖-This procedure applies to the op-amp circuit to control the gain factor and key debounce
  3. 所属分类:Other systems

    • 发布日期:2017-12-01
    • 文件大小:10665
    • 提供者:徐晟灏
  1. 4X4-key

    0下载:
  2. 4X4键盘的扫描程序和键盘的消抖程序,使用VHDL语言编写的程序-The 4X4 keypad scanner and keyboard eliminate buffeting program VHDL language program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-15
    • 文件大小:1595
    • 提供者:lsw
  1. oneseg7

    0下载:
  2. 实现按键输入十进制,具有按键消抖功能,时钟分频及复位功能-Key input decimal
  3. 所属分类:assembly language

    • 发布日期:2017-11-25
    • 文件大小:446025
    • 提供者:理解进
  1. 25_keys

    0下载:
  2. 基于msp430,5线拓展25按键扫描,状态机编程。已通过测试,工作稳定,消抖效果好,附有原理介绍和原理图。-based on MSP430 25 key scan state with only 5 IOs. machine programming. Has been tested, stable, can eliminate buffeting effectivly, with a schematic presentation and schematic.
  3. 所属分类:SCM

    • 发布日期:2017-12-01
    • 文件大小:535022
    • 提供者:冯世杰
  1. Experiment03

    0下载:
  2. 消抖模块之一,一但检测到按键资源按下(高电平到低电平变化),“电平检查模块”-Debounce one of the modules, but detected a key resource pressed (high to low change), " level check module"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-04
    • 文件大小:402504
    • 提供者:吴明美
  1. KEYBOARD

    0下载:
  2. 用Verilog实现的按键检测及消抖程序代码,工程中很有实用价值。-Achieved using Verilog key detection and debounce code, works great practical value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-24
    • 文件大小:1687
    • 提供者:武磊
  1. VHDL-book3

    0下载:
  2. D_flipflop:1位D触发器的设计 D_fllipflop_behav:4位D触发器的设计 reg1bit:1位寄存器设计 reg4bit:4位寄存器设计 shiftreg4:一般移位寄存器的设计 ring_shiftreg4:环型移位寄存器的设计 debounce4:消抖电路的设计 clock_pulse:时钟脉冲电路的设计 count3bit_gate:3位计数器的设计 count3bit_behav:3位计数器的设计 mo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-21
    • 文件大小:9017647
    • 提供者:贾诩
  1. verilog_xiaodou

    0下载:
  2. Verilog的消抖设计,这两篇都是我的精华,并且经过开发板的测试验证,我想对大家会很有帮助的。-The debounce Verilog design, which two are my essence, and after development board test validation, I think would be helpful to everyone.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-29
    • 文件大小:30748
    • 提供者:珍宝
  1. 1-1

    0下载:
  2. 单片机键盘扫描程序伴有键盘消抖,简便易懂,适合初学者-SCM keyboard scanner with keyboard debounce and easy to understand for beginners
  3. 所属分类:File Formats

    • 发布日期:2017-11-28
    • 文件大小:569
    • 提供者:hangeng
  1. keyboard

    0下载:
  2. 扫描行列键盘 实现无延时消抖 是应用于51单片机的程序-Scanning Array Keyboard debounce achieved without delay
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-01
    • 文件大小:11060
    • 提供者:zou753951
« 1 2 ... 5 6 7 8 9 1011 12 13 14 15 16 »
搜珍网 www.dssz.com