CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. ring

    0下载:
  2. 单片机打铃程序,大学时的课程设计,用汇编语言写的,对初学汇编语言的人很有帮助,里面有按键消抖、打铃设置等很多实用的子程序-SCM ringing program, college, curriculum design, written in assembly language, assembly language for beginners who helps, there are key debounce, rang the bell set routines, and many other
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:4774
    • 提供者:wangpoba
  1. linuxkeyboard

    0下载:
  2. 基于s3c2440和linux,实现了3*4的矩阵键盘驱动。 功能:延时消抖,重复按键,多键齐按-S3c2440 and based on linux, a 3* 4 matrix keyboard driver. Function: debounce delay, repeat button, multi-key together by
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-06
    • 文件大小:5104
    • 提供者:康纳
  1. FPGA_key

    0下载:
  2. 本程序是在VERILOG语言的基础上编写键盘程序,理论上键盘是很容易实现的,但因为要考虑消抖的因素,所以,会复杂一些。-This program is prepared based on the VERILOG language keyboard program, in theory, the keyboard is very easy to implement, but because the factors to consider debounce, therefore, be more
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:687
    • 提供者:jeby
  1. vhdl_key_with_debounce

    0下载:
  2. vhdl语言编写的消抖电路,用于按键消抖。-vhdl languages ​ ​ debounce circuit for key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:1116
    • 提供者:
  1. qiangdaqi

    0下载:
  2. 基于VHDL与FPGA的四路抢答器的设计与仿真。主要模块:抢答、竞争冒险、抢答倒计时、加分减分、超时蜂鸣、按键消抖、答题记时等模块-VHDL and FPGA-based four-way Responder Design and Simulation. Main modules: Responder, competition and adventure, answer in the countdown, plus minus points, overtime buzzer, key debou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1539960
    • 提供者:丫头
  1. key_scan

    0下载:
  2. 通用的矩阵键盘扫描、消抖C程序,已编码键值,可直接调用-General matrix keyboard scanning, debounce C program, the encoded key value, can be called directly
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:17783
    • 提供者:陈泽辉
  1. KeyeLiminateDithering

    0下载:
  2. 按键消抖Verilog程序,希望对大家有帮助!-Key debounce Verilog program, we want to help!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:422425
    • 提供者:sun pei
  1. keyscanverilog

    0下载:
  2. 按键消抖实验,体验用FPGA实现 和用单片机实现的不同-Key debounce experiment, experience, and the use of single-chip FPGA implementation to achieve different
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:195430
    • 提供者:樊依林
  1. KEYscan

    0下载:
  2. 单片机键盘扫描程序,用C51编写的程序。运用软件消抖程序。-SCM keyboard scanner, a program written with C51. The use of software debounce program.
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:28133
    • 提供者:蓝白
  1. Key-debounce

    0下载:
  2. 键盘消除抖动,在CPLD的开发板上实现键盘消抖的程序代码-Key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:34953
    • 提供者:Jingeliang17
  1. sw_led

    0下载:
  2. 利用verilog编写的一个按键控制LED灯的代码,包括按键消抖-Using verilog write a code key control LED lights, including key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:365564
    • 提供者:李才
  1. 7289

    0下载:
  2. 该文件为周立功7289芯片的驱动程序此芯片用于键盘的数码管显示的驱动键盘不用消抖,数码管可自动扫描-This file is ZLG 7289 chip driver for this chip, the digital display of the keyboard driver keyboard without debounce, digital data can be automatically scanned as shown ZLG7289. This program provides
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1058
    • 提供者:董朝邑
  1. clock-c51

    0下载:
  2. at89c51下实现电子时钟源码,里面的按键(软件)消抖做得很好-at89c51 clock
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:53400
    • 提供者:王阳
  1. key3_key4

    0下载:
  2. 基于CPLD带延时消抖的3*4矩阵键盘程序-Debounce delay of CPLD-based band of 3* 4 matrix keyboard program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:2317
    • 提供者:chen
  1. EDA

    0下载:
  2. verilog 练习的 基本程序 流水灯 ,PWM ,按键消抖,等基本程序 ,经过 一一验证-practice the basic procedures verilog water lights, PWM, key debounce, and other basic procedures, after only verify
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-11
    • 文件大小:18572217
    • 提供者:谷向前
  1. motor_PWM

    0下载:
  2. 刚写的verilog 程序,控制直流电机正反转,具有严格的按键消抖函数,采用脉冲边沿检测法,防止误触发!-Just write verilog program to control the DC motor reversing, with strict key debounce function, pulse edge detection method, to prevent false triggering! ! ! Beginner EDA, if insufficient, please
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:302815
    • 提供者:谷向前
  1. 4x4key

    0下载:
  2. 本代码以端口中断方式,实现外围4x4键盘的扫描,消抖,并读取键值-The code to port interrupt, to achieve external 4x4 keyboard scan, debounce, and read the key
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:938
    • 提供者:胡伟
  1. 4x4keyscan

    0下载:
  2. 4x4按键扫描程序 是基于状态机的有按键消抖按键扫描程序-4x4 key scanner is based on the state machine has buttons debounce key scanner
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:706
    • 提供者:xxi
  1. jianpan_and_shumaguan

    0下载:
  2. 矩阵键盘4*4(必须有上拉电阻),已消抖,可直接用。-4* 4 matrix keyboard (must have pull-up resistor), has debounce, can be directly used.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:754399
    • 提供者:王志文
  1. vhdl_key

    0下载:
  2. 由vhdl语言实现的小键盘控制文件,能实现消抖和4*4矩阵小键盘的扫描-Vhdl language from the keypad control file, to achieve debounce and 4* 4 matrix keypad scanning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:1411
    • 提供者:李锋
« 1 2 3 4 5 6 78 9 10 11 12 ... 16 »
搜珍网 www.dssz.com