CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. linear

    1下载:
  2. - 1、限幅滤波法(又称程序判断滤波法) 2、中位值滤波法 3、算术平均滤波法 4、递推平均滤波法(又称滑动平均滤波法) 5、中位值平均滤波法(又称防脉冲干扰平均滤波法) 6、限幅平均滤波法 7、一阶滞后滤波法 8、加权递推平均滤波法 9、消抖滤波法 10、限幅消抖滤波法 -- 1, limiting filtering method (also known as filtering method to determine the procedure) 2, the median filteri
  3. 所属分类:Special Effects

    • 发布日期:2017-04-04
    • 文件大小:1668
    • 提供者:張治國
  1. dpj

    0下载:
  2. 单片机控制交通灯设计 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:238038
    • 提供者:zx
  1. xiaodou

    0下载:
  2. 具有消抖功能的秒表程序,可以通过按键来设定初始时间,比delay更加精确-Consumers have a stopwatch function Buffeting procedures, can be key to set the initial time delay more precise than
  3. 所属分类:Document

    • 发布日期:2017-04-13
    • 文件大小:2364
    • 提供者:guobo
  1. 18

    0下载:
  2. 按键消抖动方法,程序稳定、有效消抖时间快速,无干扰-Button jitter elimination methods, procedures stable, fast and effective elimination Buffeting time, non-interference
  3. 所属分类:FlashMX/Flex

    • 发布日期:2017-04-28
    • 文件大小:12353
    • 提供者:MARK GU
  1. juzheng

    0下载:
  2. 8051单片机矩阵键盘扫描程序(包括键盘的消抖和数码管的显示)-8051 keyboard matrix scanning process (including the elimination Buffeting keyboard and digital display control)
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11878
    • 提供者:zqw
  1. 430LCDqudong

    0下载:
  2. 430的LCD驱动电路和简单的消抖程序,一个并口,一个串口的-AAA
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:4046
    • 提供者:韦晓明
  1. touch

    0下载:
  2. 该程序能够实现液晶的相关功能,在ADS编译器下已经成功编译通过,程序中也考虑到了触摸过程中的消抖问题,是算法尽可能的简练。-The program can be achieved LCD related functions, under the ADS compiler compiler has been successfully passed, the procedure also takes into account the touch in the process of shaking t
  3. 所属分类:Driver Develop

    • 发布日期:2017-04-06
    • 文件大小:231806
    • 提供者:敖三三
  1. 4x4key

    0下载:
  2. 4x4判健程序,反选法,具有延时消抖功能-easy
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:667
    • 提供者:张平
  1. aianxiaodou

    0下载:
  2. 用vhdl语言实现对按键的消抖,消除按键的抖动对系统造成的误判-Vhdl language used to achieve the elimination of key Buffeting to eliminate jitter button on the system caused by misjudgment
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:73912
    • 提供者:jayi
  1. matrix_key

    0下载:
  2. 由几个独立的开关,经过消抖,扫描组成矩阵开关-By several independent switch, after elimination Buffeting, scanning the composition of the matrix switch
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:11702
    • 提供者:张民
  1. watch

    0下载:
  2. 用VHDL设计实现秒表功能:秒表功能包括开始/暂停键和清零键,精度要达到0.01秒,所以计数显示共有八个数码管,而每个数码管又有八个管脚,因此采用扫描显示的方法,减少管脚数量。时钟脉冲由最低位给入,采用异步方式驱动更高位的计数,时钟频率应该为100Hz,通过数码管显示,共有八个数码管,所以扫描频率应在100Hz的8倍以上。(付按键消抖代码)-VHDL design with a stopwatch functions: stopwatch features include Start/PAUSE
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:27292
    • 提供者:李月
  1. jianpan

    0下载:
  2. 51单片机实现的键盘程序,可以用键盘控制,加入了消抖处理.-51 single-chip process to achieve the keyboard can be used to the keyboard control, joined the consumer to deal with shaking.
  3. 所属分类:SCM

    • 发布日期:2017-04-07
    • 文件大小:4375
    • 提供者:辛光明
  1. MC14490

    0下载:
  2. MC14490 延时消抖IC的VHDL实现-Consumer IC Buffeting MC14490 delay the realization of the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:127591
    • 提供者:张阳
  1. Key

    0下载:
  2. 按键驱动程序。主要功能:如果按下查询键值,消抖,发送标志位置位 如果同一个键一直处于按下状态,只发送一次;如果是两个特殊键,则一直发送。-Key drivers. Main functions: if the key press inquiries, elimination Buffeting, send mark the location of places, if the same key has been pressed, and sent only once if there are
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:3195
    • 提供者:kangde1619
  1. example6

    0下载:
  2. 使用 key1 和 key2 来控制数据的加减,通过显示可以看出数据的变化。key1是控制数据加,key2 是控制数据减。可以从 0~9 显示。其中有按键消抖的方法-Key1 and key2 to control the use of the data increases or decreases can be seen by showing the data changes. key1 is to control the data increases, key2 is to control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:27255
    • 提供者:panda
  1. wavefilter

    0下载:
  2. 10种软件滤波方法的示例程序 1、限副滤波 2、限幅消抖滤波法-10 wave filter program
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-04
    • 文件大小:2567
    • 提供者:gettext
  1. juzhenkey

    0下载:
  2. 主要讲述矩阵按键的消抖,对于初学者非常有用-Primarily about the extinction matrix keys shake, very useful for beginners
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-28
    • 文件大小:767
    • 提供者:李响
  1. key

    0下载:
  2. 说明,实用的键盘状态机处理程序,利用状态机去拌动,兼有部分键单触发和部分键连发功能。连发时间间隔和消抖时间方便设定。是我工程中常用的部分。利用状态机条理清晰,处理效率高。-Note, practical keyboard state machine handling procedures, using the state machine to mix action, both part of the keys and some keys repeating one-shot function.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:1790
    • 提供者:苏广
  1. jpxs

    0下载:
  2. 取键值程序 功能:等待键入,并刷新显示,并返回键值放 A 占用: PSW,A,DPTR,R0,R2,R3,R4,R6,R7 入口:无 出口: A ORG 0190H WAT_KB: MOV R0,#3FH WAT_0: ACALL DISP_0 刷新显示器 ACALL T_KB JZ WAT_0 无键 , 循环 ACALL KEY_LD 延时消抖-#include<reg51.h> #include<intrins.h> #define uchar unsigne
  3. 所属分类:SCM

    • 发布日期:2016-01-26
    • 文件大小:582
    • 提供者:lgp
  1. jitter_eliminate

    0下载:
  2. verilog描述的实用消抖电路,采用三个D触发器和一个JK触发器。使用emacs编写源文件,iverilog仿真通过,内有png仿真图像截屏-verilog descr iption of the practical elimination shake circuit, using three D flip-flop and a JK flip-flop. Prepared source files using the emacs , iverilog simulation adopted
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:79459
    • 提供者:孙斌
« 1 2 3 45 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com