CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. rotate_switch

    0下载:
  2. 双触点旋转开关verilog驱动,内置消抖模块。使用emacs编写源文件,iverilog仿真通过,内有png仿真图像截屏-Double-contact rotary switch verilog drive, built-in modules eliminate shaking. Prepared source files using the emacs, iverilog simulation adopted, within the simulation images png screen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:79786
    • 提供者:孙斌
  1. rotate_led_src

    0下载:
  2. 利用旋转开关控制8个LED循环点亮方向,包括旋转开关(消抖,辨别方向)和 LED循环点亮模块。使用emacs+iverilog开发,内附gtkwave仿真截屏。-The use of rotary switch control 8 LED cycle light directions, including the rotary switch (Consumer shake, a sense of direction) and the LED light module recycling. Use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:231150
    • 提供者:孙斌
  1. zhuhangsaomiao

    0下载:
  2. 单片机矩阵键盘的逐行扫描法,采用了消抖,初学单片机者适用。-MCU Matrix keyboard progressive scanning method, using extinction shake, beginners microcontroller be appropriate.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-10
    • 文件大小:1401
    • 提供者:哲别
  1. hangliehaojiance

    0下载:
  2. 单片机矩阵键盘的行列号检测法,采用了消抖,初学单片机者适用。-MCU Matrix ranks No. keyboard detection method, using extinction shake, beginners microcontroller be appropriate.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:1542
    • 提供者:哲别
  1. fanzhuan

    0下载:
  2. 单片机矩阵键盘的翻转法,采用了消抖,初学单片机者适用。-MCU Matrix keyboard flip method, using extinction shake, beginners microcontroller be appropriate.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-02
    • 文件大小:1078
    • 提供者:哲别
  1. MicrocontrollerbasedcontrolotrafficlightsGraduatio

    0下载:
  2. 十字路口车辆穿梭,行人熙攘,车行车道,人行人道,有条不紊。那么靠什么来实现这井然秩序呢?靠的是交通信号灯的自动指挥系统。交通信号灯控制方式很多。本设计主要分为五大模块输入控制电路、时钟控制电路、片内外程序切换控制、显示电路。以MSC-51系列单片机IntelAT89C51为中心器件来设计交通灯控制器,实现了AT89C51芯片的P0口设置红、绿灯、黄灯燃亮时间的功能;为了系统稳定可靠采用了74LS14施密特触发器芯片的消抖电路,避免了系统因输入信号抖动产生误操作;显示时间直接通过AT89C51的P
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:146313
    • 提供者:买永乐
  1. coe3dq4_lab1_2009

    0下载:
  2. Verilog HDL键盘消抖程序,Verilog HDL键盘消抖程序-debouncing code in Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:120091
    • 提供者:
  1. KEYPAD

    0下载:
  2. 按键的扫描程序(C),矩阵扫描,软件消抖,按键复用都有。-Key scanning program (C), matrix scan, consumer shaking, key re-use.
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:1887
    • 提供者:张三
  1. lesson5

    0下载:
  2. 键盘用来做什么、如何键盘检测、消抖、键盘编码、带返回值函数写法及应用-The keyboard is used to do, how the keyboard detection, elimination shaking, keyboard codes, with return value of the function and application of writing
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:32813
    • 提供者:小为
  1. CANDY1

    0下载:
  2. 用VHDL实现的数字钟,实现消抖,计时,显示分秒,秒表等功能-VHDL implementation with digital clock and realize elimination shake, timing, displays minutes and seconds, stopwatch functions
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1343
    • 提供者:凌云
  1. softwarefilteringmethod

    0下载:
  2. 10种常用软件滤波的方法1、限幅滤波法2、中位值滤波法3、算术平均滤波法4、递推平均滤波法5、中位值平均滤波法6、限幅平均滤波法7、一阶滞后滤波法8、加权递推平均滤波法9、消抖滤波法10、限幅消抖滤波法-10 kinds of commonly used software filtering method
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1159
    • 提供者:邰国军
  1. xiaojianpan

    0下载:
  2. 4_4小键盘扫描+VHDL语言,没有消抖的-4_4 keyboard scan+ VHDL language, do not shake the consumer
  3. 所属分类:Other systems

    • 发布日期:2017-04-10
    • 文件大小:874
    • 提供者:Randy
  1. key_denounce

    0下载:
  2. 按键消抖verilog源代码,包括实验说明书,清晰易懂. -this code is the verilog source code,which teach you how to filtrate the bump when the key being touched.
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:339746
    • 提供者:颜爱良
  1. keydebounce

    0下载:
  2. 按键消抖,基于BLOCK输入,可以达到很好的消除抖动的效果-Key consumer shake, based on BLOCK input
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:187493
    • 提供者:lixianhui
  1. scankeyboard

    0下载:
  2. C语言键盘扫描程序 通用性强 消抖连按 功能均可简单实现-scan keyboard
  3. 所属分类:Windows Kernel

    • 发布日期:2017-04-14
    • 文件大小:4219
    • 提供者:FREEFISH
  1. 10DigitalFilter

    0下载:
  2. 10种软件滤波方法的示例程序 1、限副滤波 2、中位值滤波法 3、算术平均滤波法 4、递推平均滤波法(又称滑动平均滤波法) 5、中位值平均滤波法(又称防脉冲干扰平均滤波法) 6、限幅平均滤波法 7、一阶滞后滤波法 8、加权递推平均滤波法 9、消抖滤波法 10、限幅消抖滤波法-Software filter of 10 sample program 1, limit the Deputy filter 2, the median filtering meth
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3226
    • 提供者:viet
  1. Independentkeys

    0下载:
  2. 独立键盘编程方式及验证 1、延时消抖方式---以时间换空间 2、置标志位方式----以空间换时间----中断紧要的时候使用效果更好 3、键盘扫描及处理函数----有问题的方式-Independent keys
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:24897
    • 提供者:mdcao
  1. P6_with_submodule

    0下载:
  2. 目前网上有很多消抖的代码,但本代码将消抖的程序做成了一个子模块,方便调用-Currently there are many online consumers shake the code, but the code will eliminate the procedure shake made a sub-module for easy call
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:342902
    • 提供者:张力
  1. VHDLxiaodou

    0下载:
  2. 键盘消抖电路的程序,可以实现按键消抖功能-xiaodou
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3405
    • 提供者:东东
  1. key_xiaodou

    0下载:
  2. 本例中用状态机实现了消抖电路: 端口描述:clk 输入检测时钟;reset 复位信号;din 原始按键信号输入; dout 去抖动输出信号。-In this case the state machine used to achieve the elimination shake circuit: Ports Descr iption: clk input test clock reset reset signal din original key signal input dout t
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:834
    • 提供者:hughxue
« 1 2 3 4 56 7 8 9 10 ... 16 »
搜珍网 www.dssz.com