CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. xiaodou_fpga

    0下载:
  2. fpga的按键消抖程序,用硬件描述语言实现,可以用在按键控制的fpga上。-fpga key debounce procedure, using hardware descr iption language, can be used in the control buttons on the fpga.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:348992
    • 提供者:momowang
  1. anjian

    0下载:
  2. 51单片机按键 含有消抖功能,已通过硬件调试,实现应有功能-mcu c
  3. 所属分类:ARM-PowerPC-ColdFire-MIPS

    • 发布日期:2017-03-30
    • 文件大小:7349
    • 提供者:徐英杰
  1. KEY4x4

    0下载:
  2. 51单片机系列的矩阵键盘程序,采用读取行号,列号简化程序,消抖程序也比较实用,移植性比较好-51 matrix keyboard microcontroller family program, using read line number, column number to simplify procedures, eliminate shaking procedure is also more practical, better portability
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:100691
    • 提供者:jieshao
  1. Debounced-program-FPGA

    0下载:
  2. FPGA消抖程序,用于按键消抖,可作为一个process写入程序-FPGA debounce program for key debounce, the program can be written as a process
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:518
    • 提供者:邓欢
  1. qjsf

    0下载:
  2. 基于fpga多功能电子时钟设计,按键消抖等处理-Fpga based design of multi-function electronic clocks, and other processing key debounce
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:250229
    • 提供者:
  1. fdd

    0下载:
  2. 按键消抖,对时钟沿计数决定是否将bin值给内部的按键值。-Debounced buttons, whether on the edge of the clock count within the bin value to the key value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:86907
    • 提供者:房间奥斯
  1. key_debounce

    0下载:
  2. verilog实现的按键消抖源代码,初学fpga的可以学习下-implementation of key debounce verilog source code, beginners can learn from fpga
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:349055
    • 提供者:flier
  1. key_timer

    0下载:
  2. 用定时器,扫描按键,比较好的解决了消抖问题,效率比较高-With a timer, scan button, a better solution to the debounce problem, more efficient
  3. 所属分类:SCM

    • 发布日期:2017-04-04
    • 文件大小:46083
    • 提供者:黄海星
  1. debounce_1_Sch

    0下载:
  2. 用QuartusII原理图形式编写的按键消抖程序,分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下的时间与产生低电平信号的时间相等,按键按下的时间与LED灯亮的时间相等-*Project Name :debounce_Sch *Module Name :debounce_Sch *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *D
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:404683
    • 提供者:ZB
  1. 4x4-matrix-keyboard-driver

    0下载:
  2. 应该是最全面的基于C语言的4x4矩阵键盘的驱动。 内含三种扫描方式,适应两种不同接法的矩阵键盘,可选的软件消抖处理,还包含一个基于淘宝上最常见的4x4薄膜键盘的驱动型号为HC-543。 共5个驱动程序。 开发环境:Keil-Should be the most comprehensive C-based 4x4 matrix keyboard driver. Contains three scan mode, to adapt to two different connecti
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3776
    • 提供者:
  1. Keyboard-and-AD-C-program

    0下载:
  2. 单片机的键盘消抖和松手检测以及模数转换C程序,测试通过。 -The keyboard of single chip away shaking and let go detection and A/D convert C program
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:1308
    • 提供者:杨威
  1. for-mcu-buttern

    0下载:
  2. 普通键盘练习程序、键盘扫描程序含按键消抖功能,e也可加上线反转代码。-the mcu buttern progress
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:891
    • 提供者:kaihe
  1. duoji

    0下载:
  2. 此为单片机的从语言编程,按键消抖,控制高电平的持续时间即可控制舵机停止制动的角度。-This is the single chip from language programming, keys away shaking, control the duration of the high level can control the Angle of steering gear stop braking.
  3. 所属分类:SCM

    • 发布日期:2017-04-10
    • 文件大小:1047
    • 提供者:wanqiang
  1. lesson5-3

    0下载:
  2. 51单片机控制矩阵键盘,消抖,适合初学者,注释详尽-Matrix keyboard control
  3. 所属分类:SCM

    • 发布日期:2017-04-08
    • 文件大小:834
    • 提供者:董磊
  1. Key_Function_20120220

    0下载:
  2. 单片机案件程序,包括延时消抖程序和无延时消抖程序。-SCM case procedures, including the delay debounce and delay debounce procedures .
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3847
    • 提供者:zhoufan
  1. 51

    0下载:
  2. 51端口介绍,led静态,动态显示,数码管驱动,按键消抖,以及部分程序-51 port introduction, led static, dynamic display, the driver of digital tube, key debounce, and part of the program
  3. 所属分类:SCM

    • 发布日期:2017-05-06
    • 文件大小:1491279
    • 提供者:liu
  1. Project2

    0下载:
  2. 1、 硬件部分包括AT89C52、LCD1602和4个独立按键 2、 使用定时器0产生10ms的定时中断,作为时钟基准和软件定时器的基准。 3、 系统使用两个软件定时器Tkeyscan和Tdisplay。 Tkeyscan用来独立按键模块的定时扫描,每次扫描结合按键状态机的当前状态判断按键的有效性(消抖)及其时长(长按还是短按)。 Tdisplay用来定时激活LCD1602的显示(200ms一次,可自行修改)。 4、 整个系统在四种状态间流转:DISPL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:73444
    • 提供者:杨若
  1. _5_key_led_without_debounce

    0下载:
  2. verilog实例5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt -5 key_led_without_debounce 使用KEY控制LED亮灭,无按键消抖 (1)源文件 key_led.v (2)管脚分配 pins list.txt
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:54902
    • 提供者:李程序
  1. verilog_sw_led

    0下载:
  2. 采用verilog编写的FPGA程序,程序的功能是按键按键消抖,quartus II 开发。芯片型号是EP2C35F484C7,时钟50MHz。-FPGA verilog to write the program, the program function is the key button is debounced, quartus II development. The chip model is EP2C35F484C7, clock 50MHz
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:412292
    • 提供者:muliubing
  1. verilog

    0下载:
  2. 矩阵键盘未消抖 用verilog语言编写,文件简介明了。容易看都和修改。-Matrix not away with verilog keyboard shake language, file introduction and clear. Easy to see all and modification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3354
    • 提供者:高炼
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 16 »
搜珍网 www.dssz.com