CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. imagestabilization

    0下载:
  2. 图像消抖文献比较少,找到一篇和大家分享
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:194595
    • 提供者:dc
  1. jp

    0下载:
  2. 行列键盘的输入例程,通过对键盘行列的扫描,和消抖,进行输入
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:879
    • 提供者:奔驰
  1. 96key

    0下载:
  2. 通过96键程序说明如何构造多任务键盘和\"零耗时\"消抖技术
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:3519
    • 提供者:王天
  1. irint2

    0下载:
  2. 基于s3c2440和linux下的按键中断代码,含消抖代码、异步触发、读写阻塞等机制,是极好的中断驱动程序的范例。使用EINT2(GPF2)
  3. 所属分类:嵌入式Linux

    • 发布日期:2008-10-13
    • 文件大小:3008
    • 提供者:xiyong
  1. eda

    0下载:
  2. 消抖程序,已经通过软件仿真,验证通过,并在试验箱上下载成功,可以达到预期的效果
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:656123
    • 提供者:xu
  1. RFID13

    0下载:
  2. 根据曼彻斯***的编码原则(参见本刊2001年第一期《一种采用曼码调制的非接触IC卡读写程序编制》),非接触ID卡采用上升沿对应着位数据“0”,下降沿对应着位数据“1”,微控制器通过检测U2270B输出数据位的跳变来实现对曼彻斯***的译码。在现实工作中,数据信号会受到调制、解调、噪声各种效应的影响,其上升沿和下降沿存在抖动,可采用键盘消抖的办法来消除抖动的影响。根据非接触ID卡64位数据循环发送以及其数据绪构特点,即数据流中第64位为“0”,第1位至第9位为“1”。据此,将“01111111
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1028
    • 提供者:廖偉
  1. MCU_KEYBOARD_JIDA

    0下载:
  2. 51单片机片键盘驱动程序(带键盘击打实现) 我们在编写单片机键盘程序时,一般都是利用延时实现键消抖,但是很难实现键盘按下后的键盘连续击打,当然可以通过较复杂的变量转换实现,平凡老师写过一篇文章叫做《一种常用键盘程序的设计》,平凡老师是在主循环中进行的按键连续击打实现,我阅读后感觉不错,但是模块化不够理想,最后,平凡老师也承认,这样在主循环中实现这样的键盘击打,只能用在主循环不是很忙的时候,如果程序本身的主循环时间比较长,那就比较麻烦了,所以最后,平凡老师还是建议大家用定时器做,后来我感觉用平
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:81841
    • 提供者:牛余朋
  1. chapter7

    0下载:
  2. 包括直接式、矩阵式、、中断式键盘接口软件设计和键盘消抖软件设计
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:6849
    • 提供者:张山
  1. 5jq 893 001H

    0下载:
  2. 键盘码读取,消抖,回传。--Read keyboard value.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:41010
    • 提供者:胡前南
  1. verilog电子琴

    0下载:
  2. 用verilog编写的电子琴,用8个按键实现8个音调,并控制相应led灯闪烁,集成了按键消抖功能
  3. 所属分类:源码下载

    • 发布日期:2011-02-03
    • 文件大小:13198022
    • 提供者:ikedodo
  1. pwm_key

    0下载:
  2. 用fpga ep2c8Q208实现的按键可控PWM信号发生器 (按键加了消抖模块,PWM寄存器位宽为32位)-Achieved with the fpga ep2c8Q208 PWM control signal generator key (key plus the debounce module, PWM register bit width is 32 bits)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:729898
    • 提供者:Johnny chen
  1. manykey

    0下载:
  2. 可以实现按键的消抖,长按,短按,连续按的识别和其他功能!-Can achieve key debounce, press, press, continuous press identification, and other features!
  3. 所属分类:Button control

    • 发布日期:2017-04-01
    • 文件大小:5227
    • 提供者:黄雷
  1. verilog2

    1下载:
  2. 用verilog语言编写的按键消抖程序。通过下降沿检测法可以判断出是否按键。压缩包内也包含此按键消抖程序的modelsim仿真文件。-Verilog language with key debounce process. By falling edge detection method can determine whether the key. This compressed package also contains procedures for key debounce modelsim
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-09
    • 文件大小:183287
    • 提供者:广子
  1. key_interface

    0下载:
  2. verilog写的程序,是带按键消抖程序。。对于新手具有参考-verilog write the program, with key debounce program. . A reference for the novice
  3. 所属分类:MiddleWare

    • 发布日期:2017-11-09
    • 文件大小:645497
    • 提供者:wns
  1. touchdrv

    0下载:
  2. 触摸屏算法,含有触摸屏的初始化、读触摸屏、数据消抖-Touch-screen method, contains the initialization of touch-screen, read touch screen, the data consumer shaking
  3. 所属分类:SCM

    • 发布日期:2017-04-14
    • 文件大小:3838
    • 提供者:mud
  1. keyscan

    0下载:
  2. 4*4矩阵键盘单片机c语言驱动 按键消抖-I don t know!!
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-23
    • 文件大小:12561
    • 提供者:杜帮胜
  1. LED-display

    0下载:
  2. 四位数码管动态显示,片选,段选,包含键盘的消抖,最简单易懂的初学程序-4 LED dynamic display, chip select, choose paragraph, including the elimination Buffeting keyboard, the most easy-to-understand learning procedures
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:525
    • 提供者:xulei
  1. Filters

    0下载:
  2. 10种软件滤波方法的示例程序 1、限副滤波 2、中位值滤波法 3、算术平均滤波法 4、递推平均滤波法(又称滑动平均滤波法) 5、中位值平均滤波法(又称防脉冲干扰平均滤波法) 6、限幅平均滤波法 7、一阶滞后滤波法 8、加权递推平均滤波法 9、消抖滤波法 10、限幅消抖滤波法 -10 kinds of software filtering method
  3. 所属分类:DSP program

    • 发布日期:2017-04-13
    • 文件大小:2534
    • 提供者:伊强
  1. debounce_2_Verilog

    0下载:
  2. 用VerilogHDL编写的按键消抖程序 分频产生100Hz的按键采样时钟,采样时钟周期为10ms, 按键按下后,产生时间为10ms的低电平信号,即LED亮10m-*Project Name :debounce *Module Name :debounce *Target Device :Any Altera FPGA/CPLD Device *Clkin : 50MHz *Desisgner : zhaibin *Date : 2011-11-
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:430472
    • 提供者:ZB
  1. keyscan

    0下载:
  2. 功能:4×4键盘扫描程序 返回:键序号(0~F),0xFF表示没有字符输入 软件特点:简洁高效,无须延时消抖,避免连发 编程语言:Keil-C -Function: 4 × 4 keyboard scanner return: key serial number (0 ~ F), 0xFF that there is no character input software features: simple and efficient, no need to delay extin
  3. 所属分类:SCM

    • 发布日期:2017-03-31
    • 文件大小:1030
    • 提供者:李文旭
« 1 2 34 5 6 7 8 9 10 ... 16 »
搜珍网 www.dssz.com