CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 消抖

搜索资源列表

  1. wurenqiangdaqi

    0下载:
  2. 五人抢答器,基于msp430编程,五个按键对应五个led等,多人同时按下无效。已加消抖-It is based on msp430 mcu,there are 5 keys controlling 5 leds.it can t answer when 2 or more players press his key at the same time.
  3. 所属分类:SCM

    • 发布日期:2017-04-16
    • 文件大小:14596
    • 提供者:张迪
  1. key_add

    0下载:
  2. 应用FPGA中VHDL语言编写键盘消抖程序-Application of VHDL language preparation FPGA keyboard away shaking program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:201932
    • 提供者:xiaoyu
  1. B18raru

    0下载:
  2. 按键消抖动方法,程序稳定、有效效消抖时间快速,无干扰可直接使用。 -Buttons eliminate jitter, stable program, and effective efficiency debounce time is quick, no interference can be used directly.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-05
    • 文件大小:12525
    • 提供者:
  1. LED2

    0下载:
  2. 基于stm8s105上的无中断按键消抖控制LED灯闪烁-Based on without interruption stm8s105 on the button debounce control LED flashes
  3. 所属分类:SCM

    • 发布日期:2017-03-27
    • 文件大小:945331
    • 提供者:tang
  1. wuzhongduankongzhi-

    0下载:
  2. 基于stm8s105上的无中断控制无消抖LED灯例程-No debounce LED lights routines based on stm8s105 no interrupt control
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:938065
    • 提供者:tang
  1. key-press-remove-twitter

    0下载:
  2. 通过电平检测方法,用VERILOG实现了按键消抖-Button is debounced by the level detection method using VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:175525
    • 提供者:liu
  1. VHDL-key

    0下载:
  2. VHDL语言程序,具有按键消抖哦,程序比较简单,易明白,欢迎大家下载哦-VHDL language program, with key debounce, the procedure is relatively simple, easy to understand, are welcome to download Oh! ! !
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:382352
    • 提供者:陈建华
  1. VHDL-key1

    0下载:
  2. 利用VHDL程序按键消抖程序,实用性强,易明白,测试成功啦!-VHDL program button debounce procedures, practical, easy to understand, the test is successful!
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:266109
    • 提供者:陈建华
  1. key

    0下载:
  2. 集按键消抖,不影响cpu时序,c程序,单键,复合键,点触,长按连续加或减(switch case 语句)-Set button debounce, does not affect the cpu timing, c program, a single bond, composite key, tap, press and continuous plus or minus
  3. 所属分类:SCM

    • 发布日期:2017-03-30
    • 文件大小:733
    • 提供者:
  1. fkey44p

    0下载:
  2. fpga_4X4矩阵键盘程序,有消抖抖,下载后可直接使用,Q2中综合已通过 -fpga_4X4 matrix keyboard program, eliminate Shiver, can be used directly after downloading Q2 consolidated by
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-23
    • 文件大小:365575
    • 提供者:对称
  1. key

    0下载:
  2. 利用51单片机做的一个按键扫描的程序,介绍了按键扫描的步骤和对消抖的一些处理。-51 MCU to do a key scan program, the steps of the key scan and debounce processing.
  3. 所属分类:SCM

    • 发布日期:2017-11-19
    • 文件大小:1030
    • 提供者:陈晓科
  1. key_test

    0下载:
  2. 6410按键驱动,实现中断、消抖功能。属于Linux系统下的字符驱动。-6410 key driver to achieve interrupt debounce function.
  3. 所属分类:Driver develop

    • 发布日期:2017-11-21
    • 文件大小:2677
    • 提供者:fanfenfang
  1. anjianxiaochudoudongkongzhiLED

    0下载:
  2. 实现按键控制LED 亮灭。通过这个实验,掌握采用Verilog HDL 语言编程实 现按键控制LED 亮灭及按键消抖方法。-Buttons control the LED light off. Through this experiment, master Verilog HDL language programming buttons control the LED lights off and the key debounce.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:452695
    • 提供者:王恒
  1. key

    0下载:
  2. verilog的按键消抖程序,利用状态机完成的-verilog the the key debounce program, the completion of the state machine
  3. 所属分类:Other systems

    • 发布日期:2017-11-09
    • 文件大小:848
    • 提供者:young
  1. 5jq-893-001H

    0下载:
  2. 键盘码读取,消抖,回传。--Read keyboard value.-The keyboard code reading debounce return.- Read keyboard value.
  3. 所属分类:Embeded Linux

    • 发布日期:2017-11-19
    • 文件大小:40917
    • 提供者:剑无敌
  1. Key_Xiaodou_Delay

    0下载:
  2. Verilog语言,Quartus II开发环境,按键延时消抖IP。-Verilog language, Quartus II development environment, key delay shake away IP.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-30
    • 文件大小:117987
    • 提供者:yanceylu
  1. key_debounce

    0下载:
  2. FPGA入门系列实验教程——按键消抖控制LED亮灭,-FPGA Starter series of experiments tutorial- Button eliminate buffeting control LED lights off
  3. 所属分类:Other systems

    • 发布日期:2017-11-30
    • 文件大小:275933
    • 提供者:周舟
  1. verilog_anjianxiaodou

    0下载:
  2. quartus II下FPGA的基于verilog的按键消抖程序设计-Based verilog the key debounce procedures of design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-06
    • 文件大小:339501
    • 提供者:程亮
  1. video

    0下载:
  2. 关于视频消抖,采用VC编写,算法详细,请详查代码内容-video stabilization
  3. 所属分类:Windows Develop

    • 发布日期:2017-11-17
    • 文件大小:1139847
    • 提供者:ko
  1. key_scan

    0下载:
  2. 按键消抖!verilog版本的,延时程序,已经过测试-Key debounce verilog version, the delay procedure has been tested
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-08
    • 文件大小:537
    • 提供者:追风
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 15 16 »
搜珍网 www.dssz.com