CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - To the Max a

搜索资源列表

  1. 7segment

    0下载:
  2. 这个是max plus2的7段数码管的扫描程序。文件格式为hif,介绍7段数码管的设计方法。 你好,管理员,我十分需要贵网站的一个程序,请为我开通下载。我会尽力上传源码,支持网站的发展。-this is the max plus2 7 of the tube digital scanning procedures. Hif document format, introduced seven of the digital control design methods. Hello, admin
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1015
    • 提供者:wenwen
  1. cyuanchengxu

    0下载:
  2. 函数模板T max(T a, T b, T c),使之实现对任何类型数,能从三个数中求出最大数返回。设计各种类型数据(char,short,long,float,double)调用此函数模板。-function template T max (T a, b T, T c), to enable it to achieve any of several types, a few were from the three sought to return to the greatest number.
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:3044
    • 提供者:gongwen
  1. VirbMax

    0下载:
  2. 一个VC开发的3DMax导出插件的例子.能导出3DMax场景内的点,面片,对象,灯光与材质,然后按你自己的意愿进行保存.采用了类似控制台的命令方式.作者为楚狂人。必须使用VS2003加3dMax7.0SDK进行编译.-development of a VC MAX plug-derived example. MAX can be derived within the scene, surfaces, objects, lights and materials. Then according to
  3. 所属分类:3D图形编程

    • 发布日期:2008-10-13
    • 文件大小:27952
    • 提供者:楚狂人
  1. FormulaProcessor_beta1_CSharp

    0下载:
  2. 功能说明: 次组件提供的功能有二: 1、解析出数学表达式中的参数 2、计算数学表达式 此组件不但可以解析和计算数学表达式,还提供了一系列的数学基本函数,可应用于表达式中一块处理。提供的数学基本函数有:将值舍入到最接近的整数 rount(x)、向下取整函数 int(x)、向上取整 ceiling(x)、求两个数中最大数的函数 max(a,b)、求两个数中最小数的函数 min(a,b)、幂函数 pow(x,n)、求平方根的函数 sqrt(x)。 下载地址:http
  3. 所属分类:数学计算/工程计算

    • 发布日期:2008-10-13
    • 文件大小:3687
    • 提供者:jason
  1. tom_cow

    0下载:
  2. we use breadth-first algorithm to find a augmenting path The max flow is all the power flow out the source node or sink into the destination node.
  3. 所属分类:Windows编程

    • 发布日期:2014-01-17
    • 文件大小:1898
    • 提供者:shijie
  1. i2c.tar

    0下载:
  2. The Inter IC bus or I2C bus is a simple bidirectional two wire bus designed primarily for general control and data transfer communication between ICs. Some of the features of the I2C bus are: • Two signal lines, a serial data line (SDA) an
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:788781
    • 提供者:lu
  1. 已知线性表的元素按递增顺序排列

    0下载:
  2. 已知线性表的元素按递增顺序排列,并以带头结点的单链表作存储结构。试编写—个删除表中所有值大于min且小于max的元素(若表中存在这样的元素)的算法程序。,Linear table of elements known by increasing order, and to take the lead in a single node list for the storage structure. Test preparation- a deletion of the table all the v
  3. 所属分类:数据结构常用算法

    • 发布日期:2017-03-02
    • 文件大小:793
    • 提供者:weiwei
  1. SPIsend.rar

    0下载:
  2. Verilog HDL的程式,上網找到SPI程式, vspi.v這程式相當好用可用來接收與傳送SPI,並且寫了一個傳輸信號測試,spidatasent.v這程式就是傳送的資料,分別為00 66... 01 77...... 02 55這樣的資料,並透過MAX+PULS II軟體進行模擬,而最外層的程式是test_createspi.v!,Verilog HDL programs, Internet find SPI program, vspi.v this very useful progra
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:146157
    • 提供者:Rick
  1. work.zip

    0下载:
  2. 用幂法与反幂法求矩阵的最大最小特征值,以及与某个值相近的特征值,模最小的特征值,条件数与行列式,Power law with power law and anti-matrix eigenvalues of the max-min, as well as the characteristics of a value similar to the value of the smallest modulus eigenvalue condition number and determinant
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-04-02
    • 文件大小:2773
    • 提供者:maria
  1. turbo_sys_demo

    0下载:
  2. This scr ipt simulates the classical turbo encoding-decoding system. It simulates parallel concatenated convolutional codes. Two component rate 1/2 RSC (Recursive Systematic Convolutional) component encoders are assumed. First encoder is t
  3. 所属分类:3G develop

    • 发布日期:2017-03-26
    • 文件大小:2414
    • 提供者:yk
  1. ms3dImportExport_ver1_0.zip.zi

    1下载:
  2. milkshape的.ms3d文件和3ds max的文件之间的转换工具,另外还支持gmax,A utility for importing and exporting .ms3d files into 3ds Max and Gmax. This is used in conjunction with GrumpyOldMan s meshconverter to get models from .mesh files into 3ds Max (and Gmax) which preser
  3. 所属分类:3D Graphic

    • 发布日期:2016-10-14
    • 文件大小:50714
    • 提供者:许志腾
  1. max538

    0下载:
  2. 这是一个美信的MAX538芯片的程序,可参考修改参数以适合实际需要-This is a US-letter MAX538 chip procedures, parameters can be edited to suit the practical needs of
  3. 所属分类:OpenGL program

    • 发布日期:2017-03-26
    • 文件大小:3580
    • 提供者:Jason
  1. zz

    0下载:
  2. 此为一款基于J2ME的手机游戏,《重装机兵》源代码,本源码并未在手机上测试,可以编译成功。这款游戏基本实现了必须的所有功能,如碰撞探测、地图转换、NPC对话、事件处理,、横版回合制战斗系统等,并根据原游戏的特色加入了人、车两战斗系统,在玩的过程中,你可以用方向键控制人物行走;回车键弹出游戏菜单,"1"键进行命令取消。-This is a J2ME-based mobile games, " Metal Max," the source code, the source did
  3. 所属分类:Java Develop

    • 发布日期:2017-03-31
    • 文件大小:264428
    • 提供者:刘正山
  1. T_R_NDVI

    3下载:
  2. 文件为IDL程序代码,在ENVI+IDL环境下运行。处理遥感图像,生成NDVI、TNDVI、RVI等植被指数,为后续研究提供基础-Program code for the IDL files in ENVI+ IDL environment to run. Remote sensing image processing to generate NDVI, TNDVI, RVI and other vegetation indices, provide a basis for further st
  3. 所属分类:GIS program

    • 发布日期:2015-12-08
    • 文件大小:906
    • 提供者:Calm Insight
  1. admin_max2012

    0下载:
  2. 马克斯CMS(maxcms)资源采集插件支持百度影音,支持快播资源采集,奇异网、优酷、土豆、腾讯视频、搜狐支持一键采集,适用于MAXCMS v4.0!新添奇异,优酷,土豆,腾讯视频资源库!修复各大资源站无法采集的现象! 采集插件安装: 1.把admin_max2012.asp上传到admin目录下 2.在网站管理后台 “首页”-“快捷菜单”添加2012采集插件,admin_zy2012.asp 3.首先分类绑定,然后采集资源,采集完毕, 数据管理
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-11-04
    • 文件大小:10137
    • 提供者:huangzhimao
  1. kody

    0下载:
  2. 該檔案是Linux作業系統下的原始碼,請大家踴躍下載。-0kay guys, here we go... As i told you with VLP I (we try to write an fast-infector) here s the result:
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:5097
    • 提供者:王大頭
  1. plj

    0下载:
  2. 数字频率计是一种用来测试周期性变化信号工作频率的装置。其原理是在规定的单位时间(闸门时间)内,记录输入的脉冲的个数。我们可以通过改变记录脉冲的闸门时间来切换测频量程。本文利用EDA技术中的Max+plusⅡ作为开发工具,设计了基于FPGA的8位十进制频率计,并下载到在系统可编程实验板的EPF10K20TC144-4器件中测试实现了其功能。-Digital frequency meter is a kind of cyclical changes in the signal used to tes
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:591499
    • 提供者:庄青青
  1. Max-fonction

    0下载:
  2. a program for to maximise the fonction f(x,y)=x+y with genetic algorithm
  3. 所属分类:matlab

    • 发布日期:2017-04-13
    • 文件大小:2641
    • 提供者:kamel
  1. add

    0下载:
  2. 一位全加器源码实现了MAX及其一系列器件实现全加的功能-A full adder and its source code to achieve the MAX series of devices to achieve the functions of the All-Canadian
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:13586
    • 提供者:yigezi
  1. SY10

    0下载:
  2. 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。 -The musical performance circuit’s design and implement Abstract: This paper introd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307323
    • 提供者:guo
« 1 23 4 5 6 7 8 9 10 ... 15 »
搜珍网 www.dssz.com