CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - Verilog FPGA

搜索资源列表

  1. AD_1

    0下载:
  2. 基于FPGA的AD转换,AD芯片是TLC549,verilog-FPGA AD verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1073538
    • 提供者:朱浩
  1. FPGA

    0下载:
  2. FPGA串口通信 Verilog -FPGA UART uartFPGA UART
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:109837
    • 提供者:杨倩
  1. fpga

    0下载:
  2. 《无线通信FPGA设计》书的源代码 ,包括matlab和verilog~-FPGA design of wireless communication book source code, to include matlab and verilog ~~
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:227069
    • 提供者:文嵩
  1. 8051core-Verilog

    0下载:
  2. 用verilog在FPGA内部实现8051内核,超好、超难找的资料!共享出来!-Verilog FPGA internal 8051 core, super, super hard to find! Shared out!
  3. 所属分类:VHDL编程

    • 发布日期:2017-11-05
    • 文件大小:51897
    • 提供者:于祥龙
  1. verilog--password-lock

    0下载:
  2. 基于FPGA的密码锁  verilog- verilog FPGA password lock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-12-03
    • 文件大小:1871
    • 提供者:万中原
  1. verilog-procedures

    0下载:
  2. fpga的基于verilog的串行数据转并行数据的相关资料,相关内容uart协议,串并转换程序-verilog fpga-based serial data to parallel data, relevant information, relevant content uart protocol string and conversion program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:1412567
    • 提供者:
  1. Verilog

    0下载:
  2. 七段数码管译码器.(Verilog)[FPGA]第一个Verilog程序,七段共阴数码管摸索了好几天,终于能完成敲入代码、综合、仿真、绑定引脚至下载的全套工作了 -. 七段数码管的lookup table module SEG7_LUT ( input [3:0] iDIG, output reg [6:0] oSEG ) always@(iDIG) begin case(iDIG) 4 h1: oSEG = 7 b1111
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:685
    • 提供者:王林林
  1. Verilog

    0下载:
  2. 基于Verilog语言的实用FPGA设计(美)科夫曼-Verilog FPGA
  3. 所属分类:software engineering

    • 发布日期:2017-05-25
    • 文件大小:7854561
    • 提供者:lys
  1. UART-Verilog-source

    0下载:
  2. Verilog编写UART串口例程,实现FPGA与上位机串口通信,利用ASCII码进行大小写转换,在Xilinx Virtex-5开发板测试通过-UART serial routines written in Verilog, FPGA serial communication with the host computer using the ASCII code case conversion, in the Xilinx Virtex-5 development board test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:2974
    • 提供者:charley
  1. FPGA 正交编码 verilog

    1下载:
  2. 用Verilog写的2倍频率正交编码的仿真测试程序,仿真波形已经调出
  3. 所属分类:VHDL编程

  1. Verilog code about a VGA based ball and gun game

    0下载:
  2. This code can be performed directly on the SPARTAN-3A FPGA board as long as a VGA port is connected to this board. After initialization, a ball and gun will appear on the screen and you can control them and playing the game by using the button from t
  3. 所属分类:VHDL编程

    • 发布日期:2015-02-21
    • 文件大小:25860
    • 提供者:wpw1994
  1. FPGA IP cores

    1下载:
  2. FPGA IP cores on verilog for USB CY7C68013, VGA, Ethernet DM9000A, Sound WM8731.
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-22
    • 文件大小:19559
    • 提供者:drno@ukr.net
  1. fpga calculate

    0下载:
  2. 基于FPGA的建议运算器,可以实现加、减、乘等算术运算,通过开发板输入输出
  3. 所属分类:VHDL编程

  1. fpga usb

    0下载:
  2. 基于fpga的usb端口verilog调试程序,可利用键盘鼠标控制开发板的一些动作
  3. 所属分类:VHDL编程

  1. 基于FPGA实现蜂鸣器播放音乐的功能

    1下载:
  2. 使用芯片为EP2C8Q208C8N,使用普通蜂鸣器,由于频率不同可实现放歌功能,本 例设计的是《友谊地久天长》,使用Verilog语言编程,本例子有工程文件、仿 真、波形,经过测试可以使用。
  3. 所属分类:嵌入式/单片机编程

  1. fpga-KEY-UART-SRAM

    0下载:
  2. fpga KEY UART SRAM 驱动 程序 VHDL VERILOG-fpga KEY UART SRAM driver VHDL VERILOG
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-05
    • 文件大小:4696
    • 提供者:张如美
  1. fpga-LCD1602

    0下载:
  2. 本程序是用verilog开发的实现LCD1602的代码(This procedure is developed using Verilog, LCD1602 code.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-22
    • 文件大小:983040
    • 提供者:zhihuidaxian
  1. test1

    0下载:
  2. 七段译码器的verilog语言程序,功能由七根二极管来显示0到9数字的东西,就是显示器(seven-segment decoder)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:45056
    • 提供者:LdF!!!
  1. VerilogHDL

    0下载:
  2. Samir Palnitkar-Verilog HDL_ a guide to digital design and synthesis-SunSoft Press (2003)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:2253824
    • 提供者:MAA
  1. Palnitkar_Verilog_1996

    0下载:
  2. Samir Palnitkar-Verilog Digital Design Synthesis-SunSoft Press (1996)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-29
    • 文件大小:9034752
    • 提供者:MAA
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »
搜珍网 www.dssz.com