CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - cpu,VHDL

搜索资源列表

  1. Chapter6-9

    3下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-11
    • 文件大小:6281027
    • 提供者:xiao
  1. dft

    1下载:
  2. verilog语言实在点变换DFT源代码,可以配合软核或者其他CPU进行综合FFT变换,也可以单独使用生成module!-verilog language is point FFT transform source code, can tie in with the soft-core CPU, or other integrated FFT transform, it can be used to generate module!
  3. 所属分类:Mathimatics-Numerical algorithms

    • 发布日期:2017-03-23
    • 文件大小:1399
    • 提供者:刘庆
  1. lcd-code

    1下载:
  2. 比较完整的LCD接口代码,verilog编写,分为6800和8080两种CPU接口,且有完整的仿真程序-Relatively complete LCD interface code, verilog prepared 6800 and 8080 is divided into two types of CPU interfaces, and there is a complete simulation program
  3. 所属分类:Graph Recognize

    • 发布日期:2017-04-09
    • 文件大小:1832615
    • 提供者:李佳
  1. ETH

    0下载:
  2. 该系统通过顶层模块,调用4底层模块实现。4大模块底层模块为:cpu模块、发送模块、接收模块、mii模块-The system top-level module, called the bottom module 4. 4 large modules underlying module: cpu modules, transmit modules, receiver modules, mii module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:4863
    • 提供者:mao
  1. cpu01

    0下载:
  2. 一个简单的cpu的VHDL源码描述,希望对大家有点用呀-Cpu a simple descr iption of the VHDL source code, I hope all of you a bit with it
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:48776
    • 提供者:肖冠兰
  1. class34

    0下载:
  2. eda中的8位的CPU设计,电子类专业非常实用!-EDA in eight of the CPU design, electronics professional very useful!
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:444103
    • 提供者:王子
  1. 32bit_RISC_CPU

    0下载:
  2. 32 risc cpu的参考设计,内涵完整的testbench-32 risc cpu s reference design, the connotation of complete Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2444310
    • 提供者:zys
  1. XiaYuWen_8_RISC_CPU

    2下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶
  3. 所属分类:source in ebook

    • 发布日期:2015-04-10
    • 文件大小:86714
    • 提供者:刘志伟
  1. niostest

    0下载:
  2. SOPC Builder创建的CPU,能够满足简单的VHDL软件仿真-SOPC Builder to create the CPU, to meet the simple VHDL software simulation
  3. 所属分类:书籍源码

    • 发布日期:2017-11-09
    • 文件大小:4346880
    • 提供者:roger
  1. modelsim

    0下载:
  2. SOPC Builder创建的CPU,能够满足简单的VHDL软件仿真-SOPC Builder to create the CPU, to meet the simple VHDL software simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:91385
    • 提供者:roger
  1. n2cpu_nii51004

    0下载:
  2. NIOSII CPU设计实例,包括AVOLON总线特点和时序要求-NIOSII CPU design examples, including AVOLON bus characteristics and timing requirements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:138658
    • 提供者:石林
  1. POCREPORT

    0下载:
  2. 为充分利用CPU的运行效率,采用中断功能设计并行输入输出接口,以达到缓解CPU高速运行速度与外设低速缓冲间的矛盾。-To take full advantage of the efficiency of CPU operation, interruption of functional design using parallel input-output interface, in order to alleviate the CPU speed and high-speed periphera
  3. 所属分类:Graph Recognize

    • 发布日期:2017-04-08
    • 文件大小:209447
    • 提供者:Rachel
  1. first_cpu

    0下载:
  2. nios ii cpu核,包含通用IO口、sdram、flash、uart-nios ii cpu、genernal io port、sdram、falsh、uart
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-05-31
    • 文件大小:13319470
    • 提供者:陆yong
  1. dianhuanyuanchengkongzhi

    0下载:
  2. 电话智能遥控器主要包括电话振铃检测电路,电话自动摘机和挂机电路,DTMF信号解码电路,语音提示急电路,音频放大电路,以及控制心脏CPU电路-Telephone remote control including smart phones ringing detection circuit, telephone and hang up automatically pick circuit, DTMF signal decoding circuit, urgent voice circuits, au
  3. 所属分类:assembly language

    • 发布日期:2017-04-04
    • 文件大小:7515
    • 提供者:卿卿
  1. cpu16

    0下载:
  2. 实现一个16位CPU。该CPU使用精减指令集,是一个五段流水线的结构。包括取指令(IF)、读寄存器(RD)、运算器(ALU)、内存读写(MEM)和写回(WB)。-The realization of a 16-bit CPU. Streamline the use of the CPU instruction set is a structure of five lines. Including fetch (IF), register read (RD), arithmetic logic u
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-29
    • 文件大小:6205
    • 提供者:周健
  1. Chapter1-5

    0下载:
  2. 第一章到第五章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个实例
  3. 所属分类:source in ebook

    • 发布日期:2017-04-09
    • 文件大小:1580139
    • 提供者:xiao
  1. Chapter11-13

    0下载:
  2. 第十一章到第十三章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模块、加密模块、ATA控制器、8位RISC-CPU等及各个
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5088147
    • 提供者:xiao
  1. VHDL

    0下载:
  2. 基于VHDL设计的通用实验CPU中译码器部分,用于进行指令译码。-VHDL design of experiments based on general-purpose CPU in the decoder part, used for instruction decoding.
  3. 所属分类:Other systems

    • 发布日期:2017-03-26
    • 文件大小:128594
    • 提供者:刘杰
  1. VHDLmipsPipeline

    0下载:
  2. 32 位MIP流水线CPU设计,5 stage,代码详细,包括ALU,存储器,寄存器等,是个很不错的CPU设计-32 MIP pipelined CPU design, 5 stage, the code in detail, including the ALU, memory, registers, etc. is a very good CPU design
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:561487
    • 提供者:suborong
  1. cpu

    0下载:
  2. 基于VHDL的单周期cpu开发,网上找的-cpu design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:42201
    • 提供者:shadow
« 1 2 3 4 5 67 8 9 10 11 12 »
搜珍网 www.dssz.com