CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - testbench

搜索资源列表

  1. Clock_Synchronization_Module

    1下载:
  2. 数字接收机中频部分数字时钟的设计 包括matlab仿真 verilog代码、 testbench代码 以及word设计文档(Design of medium frequency digital clock in digital receiver Including Matlab simulation Verilog, testbench code, and design documents)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:245760
    • 提供者:nokkk
  1. FFT_Module

    1下载:
  2. 接收机数字部分FFT模块的代码 包括verilog代码、 matlab仿真、 word文档 testbench 实现FFT(The code of the digital part FFT module of the receiver Including Verilog, matlab simulation, testbench Implementation of FFT)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:6002688
    • 提供者:nokkk
  1. Orthogonization_Module

    0下载:
  2. 接收机数字部分正交混频模块‘ 包括verilog代码 matlab仿真 word文档 testbench代码(Receiver digital part orthogonal frequency mixing module ' Including Verilog code Matlab simulation Testbench code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:1798144
    • 提供者:nokkk
  1. CIC_Filter_Module

    2下载:
  2. 数字接收机cic抽取模块 抽取倍数可以选择 包括verilog代码 word文档 matlab仿真 testbench代码(CIC decimation module of digital receiver Extraction multiple can be selected Including Verilog code Word document Matlab simulation Testbench code)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-07
    • 文件大小:3013632
    • 提供者:nokkk
  1. ezidebug-code

    0下载:
  2. Ezidebug 支持Xilinx,chipscope 寄存器链插入、数据采集和导出、重建testbench和软件仿真验证(Ezidebug supports Xilinx, chipscope register chain insertion, data acquisition and export, reconstruction of testbench and software simulation verification)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:339968
    • 提供者:vickbupt
  1. verilog

    0下载:
  2. 8位计数器,可以实现计数器的相关功能,内涵verilog文件和testbench文件(8 bits counter,include v and testbech files ,has the ability of 8 bits counter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:14336
    • 提供者:wow111
  1. float_adder

    0下载:
  2. 实现可调维度的浮点数加法运算,内涵各个子模块和testbench(Able to achieve the float numbers adding operation.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-09
    • 文件大小:82944
    • 提供者:聪明的Jerry
  1. 1

    0下载:
  2. Hi This is an example of file ZIP Best regards
  3. 所属分类:其他

    • 发布日期:2018-01-09
    • 文件大小:409600
    • 提供者:miklk
  1. cy7c443

    0下载:
  2. 存储器仿真模型,建立testBench,可对cyc443存储器进行功能仿真。(TestBench memory, can establish simulation model, function simulation of cyc443 memory.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-10
    • 文件大小:4096
    • 提供者:cmic589
  1. verilog add4

    0下载:
  2. 分两部分,基于verilog的四位和八位加法器设计,用synopsys的VCS仿真工具进行功能仿真,掌握基本的makefile编写以及linux操作。(Divided into two parts, four and eight adder based on verilog design, function simulation with synopsys VCS simulation tools, master the basic makefile writing and Linux.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-20
    • 文件大小:512000
    • 提供者:yzzls
  1. fifo_test

    0下载:
  2. fifo IP测试工程,有完整的testbench 直接编译仿真即可(FIFO IP test project, completed testbench .direct compilation and simulation)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:1957888
    • 提供者:dufanbao
  1. frequency divider and testbench

    0下载:
  2. a frequency divider and test bench with simulation results
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-22
    • 文件大小:493568
    • 提供者:abitofhero
  1. sel

    0下载:
  2. fpga i/o 速率测试代码,含有testbench(FPGA i/o rate test code, containing testbench)
  3. 所属分类:硬件设计

    • 发布日期:2018-05-01
    • 文件大小:5336064
    • 提供者:tabuqingyun
  1. aes-master

    1下载:
  2. Verilog写的AES加密解密代码,带testbench。(AES encryption code written by Verilog with testbench.)
  3. 所属分类:加密解密

    • 发布日期:2018-05-03
    • 文件大小:69632
    • 提供者:容止
  1. AHB RAM

    1下载:
  2. Verilog写的 AHB总线接口的SRAM代码,带Testbench。(Verilog wrote AHB bus interface SRAM code with Testbench.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:21811200
    • 提供者:容止
  1. apb_uart_sv-pulpinov1

    0下载:
  2. SystemVerilog 写的APB总线接口的uart 代码,带testbench.(Uart code of APB bus interface written by SystemVerilog, with testbench.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:16384
    • 提供者:容止
  1. electrical lock

    0下载:
  2. 一个用Verilog写的电子锁工程,带testbench。(An electronic lock project written in Verilog with testbench.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:2627584
    • 提供者:容止
  1. ModelSim电子系统分析及仿真

    1下载:
  2. 此文档详细说明了如何利用Modelsim软件对FPGA逻辑代码进行功能仿真和时序仿真的方法,并通过相关例子进行讲解说明(This document explains in detail how to use Modelsim software to perform functional simulation and time series simulation of FPGA logic code, and explain how to use some examples.)
  3. 所属分类:嵌入式/单片机/硬件编程

    • 发布日期:2018-05-03
    • 文件大小:48652288
    • 提供者:ZSMCDUT
  1. FP_adder

    0下载:
  2. 32 bit floating point adder with testbench
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-04
    • 文件大小:11264
    • 提供者:liki20
  1. HDL_equation

    0下载:
  2. Verilog Program to implement the function f=x+yz and Testbench for all the possible inputs using For Loop
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:5120
    • 提供者:liki20
« 1 2 ... 30 31 32 33 34 3536 »
搜珍网 www.dssz.com