CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 搜索资源 - vhdl

搜索资源列表

  1. song

    0下载:
  2. 音乐,梁祝,其中应用VHDL编写的全过程梁祝。-Music, Butterfly Lovers, in which the application of VHDL to prepare the whole process of Butterfly Lovers.
  3. 所属分类:VHDL编程

    • 发布日期:2017-04-04
    • 文件大小:1576
    • 提供者:1
  1. I2C

    0下载:
  2. 详细描述了I2C的技术规范 版本号为2.1 是采用VHDL编写I2C的IP核的一本不错的参考资料-A detailed descr iption of the I2C specification version 2.1 is the use of VHDL for the preparation of the IP core I2C a good reference
  3. 所属分类:Other systems

    • 发布日期:2017-03-28
    • 文件大小:283992
    • 提供者:zyq
  1. VHDLProgrammingandImplementation

    0下载:
  2. VHDL 设计与实现的完整代码,很好的学习资料-VHDL Design and Implementation of a complete code, good learning materials
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1278864
    • 提供者:bill
  1. dds

    0下载:
  2. 使用VHDL硬件描述语言实现了直接频率合成器的制作,并在Altera公司的CycloneII上得到实现,验证了代码的正确性。用户操作可以参照程序中的说明,请使用QuartusII6.0以上版本打开,低版本打开时会有错误提示-Using VHDL hardware descr iption language to achieve a direct frequency synthesizer production, and Altera s CycloneII be realized, to ver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:105783
    • 提供者:xx
  1. MyState

    0下载:
  2. 这份是实验课上的教师和学生用的实例。关于用matlab simulink仿真状态机并生成vhdl代码的详细内容-The experimental class teachers and students to use examples. Matlab simulink simulation on the use of state machine and generates VHDL code details
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:968970
    • 提供者:张三
  1. serial_multiplex

    0下载:
  2. 绝对好东西,一个VHDL写的任意宽度通用串行乘法器,以最少的资源实现乘法器功能。-Definitely a good thing, a VHDL to write arbitrary width universal serial multiplier, the least amount of resources to achieve multiplier function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1841
    • 提供者:lin
  1. edawblzkq

    1下载:
  2. eda微波炉程序控制器 初学vhdl语言的控制程序设计-microwave EDA VHDL language learning program controller of the control procedures designed
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-12
    • 文件大小:8353
    • 提供者:xiaosheng chen
  1. multi

    0下载:
  2. 基于CPLD/FPGA的十六位乘法器的VHDL实现-Based on CPLD/FPGA multiplier of 16 to achieve the VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:696262
    • 提供者:peter
  1. ADC0809

    0下载:
  2. 用CPLD/FPGA驱动ADC0809芯片的VHDL源程序-Using CPLD/FPGA drive ADC0809 chip VHDL source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:156509
    • 提供者:peter
  1. clock

    0下载:
  2. 基于VHDL的电子时钟设计-VHDL-based design of an electronic clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:241827
    • 提供者:peter
  1. seg_test

    0下载:
  2. 基于VHDL的序列检测器设计-VHDL-based sequence detector design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:157154
    • 提供者:peter
  1. ff

    1下载:
  2. QUARTUS II平台上的基于VHDL语言的电梯系统控制程序。-QUARTUS II platform based on the VHDL language elevator system control procedures.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:259270
    • 提供者:凌丽
  1. EEPROM

    0下载:
  2. VHDL语言写的IIC实现EEPROM,很好的程序,已经用过,没有问题-Written in VHDL language IIC achieve EEPROM, good procedures are used, there is no problem
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1050268
    • 提供者:云川
  1. miaobiao

    0下载:
  2. 基于VHDL环境下的秒表设计源代码 很好用的-Environment based on VHDL design source code stopwatch good use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1397
    • 提供者:Jim
  1. alaw

    0下载:
  2. 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:5205
    • 提供者:wl
  1. diaziqin

    1下载:
  2. 这是一个简单的VHDL电子琴程序,分为三个源代码,与其他的源代码不同的是,这个代码比较简单,适合于初学者。-This is a simple flower VHDL procedures, divided into three source code, source code with other difference is that this code is relatively simple, suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:2466
    • 提供者:
  1. shizhong

    0下载:
  2. 这个VHDL与其他上传的代码不同,这个代码更适合于初学者。电子时钟已经在硬件上得到成功仿真。-From the VHDL code with other different, the code is more suitable for beginners. Electronic clock has been successful in the hardware simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1972
    • 提供者:
  1. plj

    0下载:
  2. 基于VHDL的简易数字频率计,具体功能不清楚请大家验证! -Simple VHDL-based digital frequency meter, the specific function is not clear please verify!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1698
    • 提供者:Lee
  1. Mul

    0下载:
  2. VHDL乘法器 四输入 四输出的代码设计-VHDL multiplier four input four-output code design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:146993
    • 提供者:邵尉
  1. Electronic-Design-Automation-Vhdl

    0下载:
  2. 各种计数器,编码器,全加器等元件的VHDL语言描述-A variety of counters, encoders, such as full-adder components described in VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:14779
    • 提供者:徐靖
« 1 2 ... 43 44 45 46 47 4849 50 »
搜珍网 www.dssz.com