CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 源码下载 嵌入式/单片机编程 VHDL编程 搜索资源 - project

搜索资源列表

  1. FPGA_examples

    0下载:
  2. FPGA工程例子.verilog HDL语言编写;-FPGA project examples. Verilog HDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:3441851
    • 提供者:严成
  1. clk_vhdl

    1下载:
  2. Quartus II工程压缩文件,是一个典型的基于FPGA的数字钟工程项目,有50MHz分频、计数、译码等模块。采用VHDL语言编写。-Quartus II project files, is a typical FPGA-based digital clock project, there are sub-50MHz frequency, counting, decoding modules. Using VHDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-02-03
    • 文件大小:652741
    • 提供者:kg21kg
  1. project

    0下载:
  2. 在Spartan-3E FPGA开发板上做的一个小项目--带语音功能的计算器,并且通过VGA接口在显示器上显示图形界面。涉及到ps2键盘模块,VGA显示模块,picoblaze汇编,串口收发模块。-In the Spartan-3E FPGA development board to do a small project- a calculator with voice capabilities, and VGA interface, through the graphical interfac
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2433550
    • 提供者:赵龙
  1. VHDLprogram

    0下载:
  2. VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1133924
    • 提供者:
  1. VHDLjiaotongdeng

    0下载:
  2. 有关毕业设计交通灯的VHDL设计,包括源码程序和仿真图形相关报告。-Traffic lights on the graduation project of VHDL design, including source code and simulation procedures related to the report graphics.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1565938
    • 提供者:乐乐
  1. project_UHF_ddc

    1下载:
  2. vhdl语言写的数字下变频的实现,整个工程文件,xlinx ise用的-VHDL language written in the realization of digital down conversion, the whole project file, xlinx ise used
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1869233
    • 提供者:杨斌
  1. vga_colors

    0下载:
  2. 该项目在VGA显示器上显示8色竖彩条。使用VerilogHDL语言编写,在Altera公司的QuartusII开发环境下验证通过。-The project was displayed on the monitor VGA color vertical color 8. VerilogHDL language used in Altera' s development environment QuartusII verification through.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:15226
    • 提供者:submars
  1. FIFO

    0下载:
  2. 512×8bid的FIFO 含工程文件,基于QUARTUs-512 × 8bid the FIFO with the project document, based on the QUARTUsII
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:3920
    • 提供者:邵捷
  1. uart_ise_vhdl

    0下载:
  2. fpga里实现 uart 经典 vhdl语言写的 ise工程文件-fpga implementation in vhdl language classic uart of ise project file
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:22263
    • 提供者:孙俪
  1. Electronic_Calendar_Based_On_FPGA

    0下载:
  2. 本项目主要是利用FPGA技术实现电子日立的功能,显示年月日星期,显示格式为:“年. 月. 日. 星期”,其中年月日星期均为可调电路。该项目共有七个模块:星期控制电路、日期控制电路、月份控制电路、年份控制电路、选择月份电路、扫描显示电路和调节电路。总体思路是:星期和日期控制电路共用一个脉冲信号;日期的进位反馈给调节电路,再通过调节电路中的开关控制选择月份和月份控制电路的脉冲信号,以起到随时调节月份的作用;同理,月份控制电路的进位反馈给调节电路以随时调节年份。-The project is main
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:42695
    • 提供者:xiaoxu
  1. Fingerprint_Identify

    3下载:
  2. 本项目名称是:基于FPGA的指纹识别模块设计。 主要内容为:本模块采用xilinx公司的Spartan 3E系列XC3S500E 型FPGA作为核心控制芯片,通过富士通公司的MFS300滑动式电容指纹传感器对指纹图象进行提取,然后对提取的指纹图像进行灰度滤波、图像增强、二值化、二值去噪、细化等预处理,得到清晰的指纹图象,再从清晰的指纹图象中提取指纹特征点,存入外部FLASH作为建档模板。指纹比对时,采用同样的方法获得清晰的指纹图像,建立比对模板,然后将比对模板与建档模板利用点模式匹配
  3. 所属分类:VHDL编程

    • 发布日期:2013-08-08
    • 文件大小:191002
    • 提供者:xiaoxu
  1. ref3

    0下载:
  2. nexys 2 vhdl reference project for uart
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:495129
    • 提供者:Mishu
  1. Actel_Igloo_nano_UART

    0下载:
  2. This FPGA project include a simple version of the UART for Actel Igloo nano.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:454121
    • 提供者:badfox
  1. de2_dac_lcd

    0下载:
  2. FPGA KIT DE2-35 This project outputs a selected voltaje using VGA DAC, the DAC module is controlled using LCD display and buttons.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:571615
    • 提供者:juan pablo
  1. Vme_Interface

    1下载:
  2. 这是本人设计的一个关于VME总线接口的FGPA程序,FPGA一边连接ARM LPC2294,一边连接VME总线,FPGA采用的XILINX公司的SPARTANII系列,程序包包含完整的工程文件-This is my design of a VME bus interface on the FGPA procedures, FPGA side of the connection ARM LPC2294, while connecting VME bus, FPGA using the XILINX
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-11
    • 文件大小:2687706
    • 提供者:zhangsongbai
  1. clock

    1下载:
  2. 这是一个数字时钟的数字逻辑电路,整个工程打包上传,时钟可以计时、校时、整点报时、定时闹钟。使用电路图实现的。在quatarsII里面仿真的并且下载到DE2板上运行过。-This is a digital clock digital logic circuits, the whole project package upload, the clock could be time, school hours, the whole point timekeeping, timing alarm clo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-05-17
    • 文件大小:1041615
    • 提供者:ryan
  1. traffic_light

    0下载:
  2. this project is traffic lights on fpga. ı used xilinx ise and simulated modelsim. [used spartan 3e development kit]. -this project is traffic lights on fpga. ı used xilinx ise and simulated modelsim. [used spartan 3e development kit].
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:657287
    • 提供者:ali
  1. encoderdecoder

    0下载:
  2. this project is based on 8*3 encoder and 3*8 decoder using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used for the final year proj
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:142088
    • 提供者:jatab
  1. srandDflipflop

    0下载:
  2. this project is based on sr and d flip flop using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used for the final year project for e
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-25
    • 文件大小:205368
    • 提供者:jatab
  1. addersandsubtractors

    0下载:
  2. this project is based on half adder ,full adder,half subtractor and full subtractor using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code c
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:65581
    • 提供者:jatab
« 12 3 4 5 6 7 8 9 10 ... 50 »
搜珍网 www.dssz.com