CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 - timing

搜索资源列表

  1. ug_ram_rom

    0下载:
  2. fpga中经常用到ram和rom,更多人只会拿着乱用,不懂其真正原理,本文档详细说明了,fpga中rom和ram的时序和怎么使用!-fpga ram and is often used rom, holds more people will mess with, do not understand its true principles, this document details, fpga in the rom and ram timing and how to use!
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:516503
    • 提供者:飞翔
  1. counter-

    0下载:
  2. 用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。-A single chip with AT89S51 T0, T1 timing counter function, to complete the input signal frequency counting, counting the frequency of 8 resulted in t
  3. 所属分类:software engineering

    • 发布日期:2017-03-28
    • 文件大小:14083
    • 提供者:笑笑
  1. Gardneralgorithm

    0下载:
  2. 数字接收机中的Gardner定时同步算法-Digital receiver in the Gardner Timing Synchronization Algorithm
  3. 所属分类:Communication

    • 发布日期:2016-03-05
    • 文件大小:87539
    • 提供者:小黄
  1. pld MegaWizard Plug-In Manager

    1下载:
  2. 利用QuartusII的"MegaWizard Plug-In Manager", 设计输入数据宽度是4bit的ADD、SUB、MULT、DIVIDE、COMPARE 把它们作为一个project,DEVICE选用EPF10K70RC240-4,对它们进行 时序仿真,将仿真波形(输入输出选用group)在一页纸上打印出来。 2.利用QuartusII的"MegaWizard Plug-In Manager"中的LPM_
  3. 所属分类:软件工程

    • 发布日期:2016-01-24
    • 文件大小:32214
    • 提供者:李侠
  1. DVI_HDMI

    0下载:
  2. DVI,HDMI接口时序,以及转换成其它如VGA和HDMI。DVI之间如何进行转换-DVI, HDMI interface, timing, and converted into other, such as VGA and HDMI. How to convert between DVI
  3. 所属分类:Document

    • 发布日期:2017-04-09
    • 文件大小:2019966
    • 提供者:汪翔
  1. IIRfilterFPGA

    0下载:
  2. 介绍了IIR 滤波器的FPGA 实现方法,给出了 IIR 数字滤波器的时序控制、延时、补码乘法和累加四个模块的设计方法,并用VHDL和FPGA 器件实现了IIR 数字滤波。-Introduction of the IIR filter FPGA implementation method of IIR digital filter timing control, delay, multiplication and accumulation complement the four modules
  3. 所属分类:Document

    • 发布日期:2017-03-28
    • 文件大小:661061
    • 提供者:杨培科
  1. AndyTiming

    1下载:
  2. andytiming软件,可以直接使用,用于画时序图,简单易行-andytiming software can be used directly, used to draw timing diagrams, simple
  3. 所属分类:software engineering

    • 发布日期:2016-06-23
    • 文件大小:393216
    • 提供者:
  1. DVB

    1下载:
  2. 通过分析8PSK 调制方式的载波恢复、定时恢复算法, 提出了一种适用于新一代数字卫星电视标准DVB􀀁 S2 的全数字解调算法, 改进了相位频率检测( PFD) 算法以适合于8PSK 解调. 载波恢复采用频率检测( FD) 和相位频率 检测相结合的结构, 跟踪范围超过1 倍符号率. 采用Gardner TED 算法进行定时恢复.-By analyzing the 8PSK modulation carrier recovery, timing recovery algori
  3. 所属分类:Communication

    • 发布日期:2017-03-24
    • 文件大小:199347
    • 提供者:赵亮
  1. avr16-step_motor-control

    0下载:
  2. 本设计采用ATEML公司的mega16 单片机对步进电机进行控制,通过IO口输出的具有时序的方波作为步进电机的控制信号,信号经过芯片L298N驱动步进电机;同时,用键盘来对电机的状态进行控制。-This design uses the company ATEML S mega16 SCM ATEML stepper motor control, through the IO port output with the timing of the square wave as a stepper m
  3. 所属分类:Project Design

    • 发布日期:2017-03-31
    • 文件大小:240672
    • 提供者:panling
  1. 132467653432

    0下载:
  2. SLE4442中文技术手册,详细的介绍了sle4442的控制方式。包括详细的时序图。-SLE4442 Chinese technical manuals, detailed introduction to the sle4442 control mode. Including a detailed timing diagram.
  3. 所属分类:software engineering

    • 发布日期:2017-04-06
    • 文件大小:359571
    • 提供者:qsg
  1. 8254-Timer

    0下载:
  2. The Intel 8254 is a counter/timer device designed to solve the common timing control problems in microcomputer system design. It provides three independent 16-bit counters, each capable of handling clock inputs up to 10 MHz. All modes are softwar
  3. 所属分类:Development Research

    • 发布日期:2017-05-14
    • 文件大小:3621329
    • 提供者:aladdin
  1. 06529_xilinx

    0下载:
  2. XILINX的时序约束教程,详细的介绍了各种时序关系和约束-Timing Constraints Guide, a detailed introduction to the various temporal relations and constraints
  3. 所属分类:Project Manage

    • 发布日期:2017-12-04
    • 文件大小:1258262
    • 提供者:fei0318
  1. CPLD-timer

    0下载:
  2. 本文介绍一种以CPLD[1]为核心、以VHDL[2]为开发工具的时间控制器,该控制器不仅具有时间功能,而且具有定时器功能,能在00:00~23:59之间任意设定开启时间和关闭时间,其设置方便、灵活,广泛应用于路灯、广告灯箱、霓虹灯等处的定时控制。-This article describes a CPLD [1] as the core, VHDL [2] for the development of tools for time controller that features not onl
  3. 所属分类:Project Design

    • 发布日期:2017-03-23
    • 文件大小:219723
    • 提供者:江俊
  1. shixv

    0下载:
  2. 时序控制器程序,51汇编,作用:分时接通/断开各路加热板(有24路),防止大电流对电网过冲击。-Timing controller procedures, 51 compilations, role: Time to connect/disconnect the various heating plate (24 Road), to prevent high-current-off impact on the power grid.
  3. 所属分类:File Formats

    • 发布日期:2017-03-29
    • 文件大小:26326
    • 提供者:cjf
  1. memory

    0下载:
  2. 内存的相关知识,包括基本原理,类型,时序等以及相关项目的开发方法-Memory-related knowledge, including the basic principles, types, timing and related projects such as the development of methods
  3. 所属分类:Project Design

    • 发布日期:2017-03-28
    • 文件大小:704846
    • 提供者:fanxc
  1. Digital_Responder(Digital_Circuit)

    0下载:
  2. 数字抢答器由主体电路与扩展电路组成。优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。经过布线、焊接、调试等工作后数字抢答器成形。-Answer the number is controlled by the main circuit and the expansion of circuit components. Priority enco
  3. 所属分类:Communication

    • 发布日期:2017-03-29
    • 文件大小:56654
    • 提供者:张堃
  1. CNC_position_time

    0下载:
  2. CNC系统位置控制的定时插补法,对开发嵌入式数控的会有帮助-CNC position control system timing interpolation method, the development of embedded CNC will be helpful
  3. 所属分类:Project Design

    • 发布日期:2017-04-17
    • 文件大小:89096
    • 提供者:张爹
  1. MMCSDTimming

    0下载:
  2. mmc 和 sd 的读写时序文档。很有用哦-mmc and sd timing of reading and writing documents. Oh, very useful
  3. 所属分类:Project Design

    • 发布日期:2017-04-25
    • 文件大小:15211
    • 提供者:Kevin
  1. MMCSDTimming

    0下载:
  2. This document represents timing diagrams of MMC and SD bus interfaces.
  3. 所属分类:Communication

    • 发布日期:2017-04-08
    • 文件大小:15206
    • 提供者:Abbas
  1. AVR-baseonAT24C16

    0下载:
  2. 首先介绍I2C总线的的一些基本知识:特点、结构、原理、控制时序、与单片机的接口方法等。最后通过一个实例实现模拟I2C接口。-First of all I2C bus introduce some basic knowledge of: characteristics, structure, principle, control timing, and MCU interface methods. Finally, through an example of analog implementati
  3. 所属分类:Communication

    • 发布日期:2017-04-17
    • 文件大小:257141
    • 提供者:jiben042
« 1 2 3 45 6 7 8 9 10 ... 21 »
搜珍网 www.dssz.com