CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 文档资料 搜索资源 -

搜索资源列表

  1. EDA_frequency

    0下载:
  2. 非常好的程序,VHDL写的测频程序。适合做测频测相,多功能计数器。适合电赛的学生下载-Very good program
  3. 所属分类:software engineering

    • 发布日期:2017-05-14
    • 文件大小:3428285
    • 提供者:发哥
  1. i2c

    0下载:
  2. 运用于飞利浦公司研发的I2C总线通讯协议的从机编程源代码。-Used I2C bus slave programming source code
  3. 所属分类:software engineering

    • 发布日期:2017-04-23
    • 文件大小:217028
    • 提供者:杨明辉
  1. DE0

    0下载:
  2. Good test on DE0 for new lovers microcontrollers
  3. 所属分类:Software Testing

    • 发布日期:2017-04-07
    • 文件大小:100560
    • 提供者:Andrey
  1. code

    0下载:
  2. matlab code for speech in vlsi
  3. 所属分类:Communication

    • 发布日期:2017-04-15
    • 文件大小:6640
    • 提供者:viswanath
  1. 1

    0下载:
  2. Verilog Code By sivanantham and sakthivel Lab assignment-xor gate Do not forget to thank
  3. 所属分类:Project Design

    • 发布日期:2017-04-12
    • 文件大小:1072
    • 提供者:Srikanth
  1. 2

    0下载:
  2. Verilog Code By sivanantham and sakthivel Lab assignment-xor gate Do not forget to thank
  3. 所属分类:Project Design

    • 发布日期:2017-04-11
    • 文件大小:1052
    • 提供者:Srikanth
  1. 3

    0下载:
  2. Verilog Code By sivanantham and sakthivel Lab assignment-xor gate Do not forget to thank
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:1842
    • 提供者:Srikanth
  1. 4

    0下载:
  2. Verilog Code By sivanantham and sakthivel Lab assignment-xor gate Do not forget to thank
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:1749
    • 提供者:Srikanth
  1. 5

    0下载:
  2. Verilog Code By sivanantham and sakthivel Lab assignment-xor gate Do not forget to thank
  3. 所属分类:Project Design

    • 发布日期:2017-04-13
    • 文件大小:1930
    • 提供者:Srikanth
  1. memory

    0下载:
  2. memory code with test for altara
  3. 所属分类:Project Design

    • 发布日期:2017-04-15
    • 文件大小:5884
    • 提供者:mai
  1. class1

    0下载:
  2. 用verilog语言实现125进制,可以随意更改多少进制的调试,只需更改8 H7c,最多256进制。学EDA的同学都可以用的着的-125 hex with verilog language, can change the number of decimal debugging, just change 8' H7c, up to 256 decimal. EDA school students can use a significant
  3. 所属分类:software engineering

    • 发布日期:2017-05-17
    • 文件大小:4467112
    • 提供者:zimeng
  1. jishuqi

    0下载:
  2. verilog语言实现计数器等功,可以供给大家学习参考,入门价值较大-verilog language counters and other functions, can supply them to learn from reference, value larger entry
  3. 所属分类:software engineering

    • 发布日期:2017-04-08
    • 文件大小:21386
    • 提供者:萧寒
  1. latch

    0下载:
  2. Abstract—Power is becoming a precious resource in modern VLSI design, even more so than area. This paper proposes a novel architecture for modular, scalable &reusable hybrid constant co-efficient multiplier (KCM) circuit. Comparison is made b
  3. 所属分类:Communication

    • 发布日期:2017-05-02
    • 文件大小:559727
    • 提供者:Bahu
  1. ldpc

    0下载:
  2. 低密度校验码 ,很好用的代码,功能已经实现编码和译码-fpga ldpc
  3. 所属分类:software engineering

    • 发布日期:2017-04-24
    • 文件大小:64785
    • 提供者:537
  1. jishuqi

    0下载:
  2. 4位二进制的计数器 Verilog 代码-4-bit binary counter Verilog code
  3. 所属分类:Communication

    • 发布日期:2017-04-06
    • 文件大小:27097
    • 提供者:Lucky
  1. VGA

    0下载:
  2. 非常有用的vga代码,亲测可行VGA verilog
  3. 所属分类:File Formats

    • 发布日期:2017-05-14
    • 文件大小:3098714
    • 提供者:wang
  1. my_uart

    0下载:
  2. FPGA的串口程序,用verilog语言写的,没找到Verilog选项,放到VHDL里面了-USART in fpga
  3. 所属分类:software engineering

    • 发布日期:2017-04-14
    • 文件大小:4596
    • 提供者:小小
  1. filter_v1_00

    0下载:
  2. verilof code for FIR fiter with fixed coeficient
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:998
    • 提供者:soha
  1. some-kinds-multiple-Verilog

    0下载:
  2. 几种常见的乘法器的verilog代码,已经试过可用-some kinds of multiplier for verilog, it is useful
  3. 所属分类:software engineering

    • 发布日期:2017-04-25
    • 文件大小:266124
    • 提供者:Tom
  1. No_1

    0下载:
  2. 关于fpga初学者学习运用开发板的基础程序,主要是led时序点亮-About fpga development board for beginners to learn to use basic procedures, mainly led timing lights
  3. 所属分类:software engineering

    • 发布日期:2017-04-13
    • 文件大小:2074
    • 提供者:甘强
« 1 2 ... 9 10 11 12 13 1415 16 17 18 19 ... 22 »
搜珍网 www.dssz.com