CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - FIFO,Verilog

搜索资源列表

  1. ht_fifo

    0下载:
  2. fifo 读写代码,能够进行速率匹配,很好的源代码-verilog hdl
  3. 所属分类:software engineering

    • 发布日期:2017-03-25
    • 文件大小:126518
    • 提供者:fengsen
  1. 20131010-code

    0下载:
  2. fx2lp 68013 xilinx XC3s400 实现slave fifo通讯,包括68013的固件以及fpga的代码(verilog)。摸了好久才调试通过的,特共享出来解救苍生!-fx2lp 68013 xilinx XC3s400 slave fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:889259
    • 提供者:jianhaoran
  1. uart_fifo

    0下载:
  2. 带fifo的串口通信verilog设计,该设计为学习uart所用,完成PC端发送至fpga后fpga原数据返回,支持长字符串。-Serial communication with fifo verilog design, which is used to learn uart complete PC sends data back to the original post fpga fpga, support long strings.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-11-10
    • 文件大小:150528
    • 提供者:Xin
  1. synchoronous_FIFO(jianban)

    0下载:
  2. 基于IPcore的同步FIFO的设计。采用Verilog代码书写。读写位宽均为8bit,深度为32.-IPcore synchronous FIFO-based design. Using Verilog code writing. Read and write bits wide are 8bit, depth is 32.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:678382
    • 提供者:杨杨
  1. FIFO64

    0下载:
  2. FIFO级联,利用verilog语言实现Xilinx FIFO18单元的多个级联,增大FIFO深度。-FIFO cascade, using Verilog Xilinx FIFO18 language to achieve a number of cascade units, increasing the FIFO depth.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3535
    • 提供者:andThe
  1. fifo_datapath

    0下载:
  2. verilog实现,串转并通过fifo再并转串,可以满足输入速率自由输出的一半时,输出仍可持续发送-verilog achieved, and through serial switch and switch again fifo Series, Rate free importation to meet half of the output, the output is still sustainable Send
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2476
    • 提供者:dropins
  1. vga_pannel_design

    0下载:
  2. verilog代码写的控制vga显示的实例,利用状态机进行描述,很好的参考例子-verilog language write serial fifo instance, because the serial port speed is relatively slow, a lot of the interface will use fifo buffer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:100807
    • 提供者:崔帅
  1. I2S

    0下载:
  2. 本代码提供一种音频I2S读取数据的verilog代码,并且向fifo写入-This code provides an I2S audio data is read verilog code, and write to the fifo
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:1011
    • 提供者:Wang Xue
  1. fifo2

    0下载:
  2. 异步fifo 先进先出 用于缓冲数据,用verilog HDL所写,在quartus II中测试通过,modelsim仿真-Asynchronous fifo FIFO for buffering data, using verilog HDL written in quartus II test through, modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3863
    • 提供者:T~T
  1. asyn_fifo

    0下载:
  2. 本文同步FIFO为TPRAM(两端口RAM,一读一写)。有详细verilog 程序以及说明-FIFO divided by clock domain can be divided into synchronous and asynchronous FIFO FIFO, FIFO read and write only one clock synchronous, asynchronous FIFO read and write were a clock. FIFO divided by
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:650156
    • 提供者:jodyql
  1. FIFO64

    0下载:
  2. FIFO级联,利用verilog语言实现Xilinx FIFO18单元的多个级联,增大FIFO深度。-FIFO cascade, using Verilog Xilinx FIFO18 language to achieve a number of cascade units, increasing the FIFO depth.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-14
    • 文件大小:3574
    • 提供者:eofper
  1. fifo_datapath

    0下载:
  2. verilog实现,串转并通过fifo再并转串,可以满足输入速率自由输出的一半时,输出仍可持续发送-verilog achieved, and through serial switch and switch again fifo Series, Rate free importation to meet half of the output, the output is still sustainable Send
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-13
    • 文件大小:2515
    • 提供者:WhieHou
  1. SRAM_WR

    0下载:
  2. 本人自己经过实践检验的SRAM读写器,用Verilog编写的,可以作为FIFO使用。-I own proven SRAM reader, using Verilog prepared, can be used as a FIFO.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1196
    • 提供者:孟德
  1. New_UART_verilog

    1下载:
  2. 这个是最新的UART的verilog代码,里边含有和UART相关的所有function,比如状态机,接收发送FIFO等相关代码。-New UART verilog sample code,Include FIFO code state mashine code ,recevier/trasmiter code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4088
    • 提供者:parkershe
  1. FT245BL_test

    0下载:
  2. (1)FT245BL芯片datasheet(2)test,USB 转FIFO 芯片测试的verilog程序-(1) FT245BL chip datasheet (2) test, USB transfer FIFO chip testing procedures verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:427112
    • 提供者:wangqiang
  1. syn_fifo_style_1

    0下载:
  2. verilog实现的,异步FIFO。所有代码在一个模块中。-verilog achieve, asynchronous FIFO. All code in a module.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1015
    • 提供者:刘禹韬
  1. fifo_verilog

    0下载:
  2. 16位FIFO的硬件电路,使用verilog实现。文件内含组合逻辑和寄存逻辑两种方法的实现,以及对应的testbench测试代码-16 FIFO hardware circuits using verilog implementation. File contains a combination of logic and storage logic to achieve the two methods, and the corresponding testbench test code
  3. 所属分类:Other systems

    • 发布日期:2017-04-16
    • 文件大小:33429
    • 提供者:chenhaoc
  1. asyn_fifo

    0下载:
  2. verilog asyn_fifo,内含详细说明,同步FIFO为TPRAM-asyn_fifo include detailed instruction,Synchronous FIFO for TPRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:388859
    • 提供者:杨莉莉
  1. syn_fifo

    0下载:
  2. Verilog,syn_fifo ,内含详细说明,同步FIFO为TPRAM-Verilog, syn_fifo, containing detailed instructions for synchronous FIFO TPRAM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:160363
    • 提供者:杨莉莉
  1. sync_fifo

    0下载:
  2. 同步fifo实现代码,包括的参数:数据宽度、fifo深度、地址宽度;状态信息包括:full, empty。-verilog RTL code which implement a synchronous FIFO function with data width, fifo depth, address pointer width parameterized.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:1141
    • 提供者:BaiLi
« 1 2 ... 5 6 7 8 9 1011 »
搜珍网 www.dssz.com