CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDl进制

搜索资源列表

  1. VHDL.sheji.2

    0下载:
  2. 电子时钟VHDL程序与仿真 10进制计数器设计与仿真 6进制计数器设计与仿真-electronic clock procedures and VHDL simulation Decimal counter design and simulation of six NUMBER Design and Simulation
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:59453
    • 提供者:少龙
  1. 使用VHDL语言设计数字钟

    1下载:
  2. 本文所设计的数字钟具有通过reset键对时、 分、 秒调整功能.该设计分为六个部分: 六进制计数器 counter6,十进制计数器 counter10 ,二四进制计数器 counter24, 时钟模块 bclock, LED扫描显示模块 ledctrl。设计使用VHDL 语言,
  3. 所属分类:VHDL编程

    • 发布日期:2014-01-17
    • 文件大小:1628
    • 提供者:woxisiji
  1. VHDL

    0下载:
  2. 数字系统设计中的全加器、10进制计数器、2-4译码器、摩尔状态机、2-1路选择器的源代码
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:901776
    • 提供者:李帆
  1. VGA图像显示

    0下载:
  2. 该项目能将RAM或ROM存储器中储存的十六进制数据显示在VGA显示器上,使用VerilogHDL]语言,在Altera的QuartusII下编译通过。
  3. 所属分类:图片显示浏览

    • 发布日期:2009-02-05
    • 文件大小:18145
    • 提供者:submars
  1. 12进制计数器

    1下载:
  2. 应用VHDL语言编写十二进制计数器
  3. 所属分类:VHDL编程

  1. daima.用VHDL语言设计一个数字秒表

    1下载:
  2. 用VHDL语言设计一个数字秒表: 1、 秒表的计时范围是0秒~59分59.99秒,显示的最长时间为59分59秒。 2、 计时精度为10MS。 3、 复位开关可以随时使用,按下一次复位开关,计时器清零。 4、 具有开始/停止功能,按一下开关,计时器开始计时,再按一下,停止计时。系统设计分为几大部分,包括控制模块、时基分频模块、计时模块和显示模块等。其中,计时模块有分为六进制和十进制计时器。计时是对标准时钟脉冲计数。计数器由四个十进制计数器和两个六进制计数器构成,其中毫秒位、十毫秒位、秒位和
  3. 所属分类:VHDL编程

    • 发布日期:2016-01-26
    • 文件大小:4767
    • 提供者:SAM
  1. 用vhdl语言编写的2进制到10进制转换的程序

    0下载:
  2. 本文为用vhdl语言编写的2进制到10进制转换的程序,为doc格式,使用前复制于maxplus等相应软件中使用。,This article was prepared by using VHDL language 2 hex to 10 hex conversion procedures for the doc format, the use of pre-replication in maxplus, such as the use of corresponding software.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-22
    • 文件大小:2872
    • 提供者:网天才
  1. masplus-works 用VHDL语言编写的八进制计数器

    0下载:
  2. 用VHDL语言编写的八进制计数器,在MASPLUS环境下编译通过,可直接使用。-Octal counter using VHDL language, compiled by MASPLUS environment can be used directly.
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-21
    • 文件大小:38422
    • 提供者:zeko
  1. 8bit.详细的八位十六进制频率计课程报告

    0下载:
  2. 详细的八位十六进制频率计课程报告,是我的eda课程设计报告书,Detailed eight hexadecimal Cymometer curriculum report is my report on the curriculum design EDA
  3. 所属分类:软件工程

    • 发布日期:2017-03-23
    • 文件大小:77756
    • 提供者:林晓彪
  1. key_4x4.rar

    0下载:
  2. 4x4键盘结合LED动态显示,里面包含了键盘扫描、2进制转10进制BCD码、LED编码和LED动态显示,4x4 keyboard combination LED dynamic display, which contains the keyboard scan, 2 to 10 hexadecimal BCD hex code, LED codes and LED dynamic display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:134368
    • 提供者:hao
  1. calculator

    3下载:
  2. 用VHDL在quartus2下实现的计算器。输入为4*4矩阵键盘,输出为共用数据线的数码管。可以实现简单数学运算、逻辑运算、进制转换、连续运算等功能。-Using VHDL in quartus2 achieve calculator. Input 4* 4 matrix keyboard, the output data lines for sharing of digital control. Can achieve a simple mathematical operations, log
  3. 所属分类:VHDL编程

    • 发布日期:2013-10-30
    • 文件大小:1276791
    • 提供者:jizhen
  1. serial

    0下载:
  2. -- 本模块的功能是验证实现和PC机进行基本的串口通信的功能。需要在 --PC机上安装一个串口调试工具来验证程序的功能。 -- 程序实现了一个收发一帧10个bit(即无奇偶校验位)的串口控 --制器,10个bit是1位起始位,8个数据位,1个结束 --位。串口的波特律由程序中定义的div_par参数决定,更改该参数可以实 --现相应的波特率。程序当前设定的div_par 的值是0x104,对应的波特率是 --9600。用一个8倍波特率的时钟将发送或接受每一位bit的周期时
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-29
    • 文件大小:65639
    • 提供者:johnson
  1. counter24

    0下载:
  2. 24进制计数,可以执行异步复位。该文件包含整个项目-24 hexadecimal counting, can perform asynchronous reset. This document contains the entire project
  3. 所属分类:Windows Develop

    • 发布日期:2017-12-03
    • 文件大小:180845
    • 提供者:iyoung
  1. cout60

    0下载:
  2. 用VHDL语言编写的60进制计数器,初学者使用-VHDL language with the 60 binary counter, for beginners to use
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:556
    • 提供者:QF
  1. vhdl

    1下载:
  2. 100进制计数器的设计 -100 binary counter design
  3. 所属分类:Other systems

    • 发布日期:2017-04-05
    • 文件大小:659
    • 提供者:冷与
  1. ElectronicClockandsimulationwithVHDL

    0下载:
  2. 电子时钟VHDL程序与仿真。包括:10进制计数器设计与仿真,6进制计数器设计与仿真,24进制计数器设计与仿真.-Electronic Clock and simulation of VHDL program. Includes: 10 binary counter design and simulation, 6 binary counter design and simulation, 24 binary counter design and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:63221
    • 提供者:Zhu
  1. 3128(vhdl)

    0下载:
  2. 里面均为用VHDL写的一些经典小程序,经过了验证均能很好的运行,一下为这些小程序的清单,希望能给大家能带来帮助: t1流水灯 t2 蜂鸣器实验 t3 拨码开关实验 t4 PWM控制LED亮度程序 t5 状态机实现流水灯 t6 静态数码管显示 t7 按键0-99计数程序 t8 红外实验 t9 0—99计数实验 t10 矩阵键盘显示 t11点阵 t12 PS2键盘识别 t13 ADC0804模拟量转化数字量实验 t14电子钟 t15 串口
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:4148424
    • 提供者:熊文吉
  1. 16进制加减计数器

    0下载:
  2. 16进制加、减计数器,用两个数码管显示(0-15)(hex add/sub counter(show 0-15))
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-12-17
    • 文件大小:349184
    • 提供者:tyne
  1. 16进制计数器

    0下载:
  2. 在Quartus2的平台上,利用VHDL语言实现16进制计数器的功能,仿真成功,并能在硬件平台的数码管上显示0到15的计数。
  3. 所属分类:源码下载

  1. 串口电压表VHDL

    1下载:
  2. 使用 AD 转换器 TLV1570,将 0-2.5V 的电压转换成 10 位二进制结果,再将 10 位二进制结果转换成 4 位 BCD 码 (整数部分 1 位,小数部分 3 位),并通过 UART 串口将数据送上位机 (电脑)进制显示(Serial port voltmeter)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-16
    • 文件大小:4210688
    • 提供者:LB明
« 12 3 4 5 6 7 8 9 10 »
搜珍网 www.dssz.com