CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fsm

搜索资源列表

  1. state_mm

    0下载:
  2. 有限状态机源码,verilog语言编写。非常详细的示范了FSM状态机的编写。-Finite state machine source code, verilog language. A very detailed model of the FSM state machine preparation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:685
    • 提供者:王先生
  1. FSM

    0下载:
  2. finite state machine design
  3. 所属分类:Internet-Socket-Network

    • 发布日期:2017-04-01
    • 文件大小:1006258
    • 提供者:Osman
  1. fsm_tb

    0下载:
  2. An odd parity checker as an FSM using VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:563
    • 提供者:Ahmed
  1. johnson_encoding_angle

    0下载:
  2. An FSM using VHDL and Johnson state encoding for states
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:783
    • 提供者:Ahmed
  1. CM12864

    0下载:
  2. cm12864液晶显示器的vhdl驱动代码,基于状态机的转换,实现显示功能。-descripe by the VHDL to drive the LCD cm12864,based on the FSM convertor,achieve the display function.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:1296
    • 提供者:梁重
  1. FSM

    0下载:
  2. 这是用verilog硬件描述语言编的moore状态机代码-It is compiled verilog hardware descr iption language moore state machine code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:607
    • 提供者:李松
  1. FIR

    0下载:
  2. The first three examples illustrate the difference between RTL FSMD model (Finite State Machine with Datapath buildin) and RTL FSM + DataPath model. From view of RT level design, each digital design consists of a Control Unit (FSM) and a Datapath. Th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:1219
    • 提供者:dhanagopal
  1. AReconfigurableFIRFilterSystemBasedonFPGA

    1下载:
  2. 本文将FPGA的快速性和计算机的灵活性通过USB2.0总线有机地结合起来,设计了一个基于FPGA的可调参数FIR滤波系统。此系统由计算机根据各种滤波器指标计算出滤波参数,通过USB2.0对FPGA芯片内部的FIR多阶滤波器进行参数配置,实现数字滤波器参数可调;配置后的FPGA滤波单元完成对A/D采集的信号进行滤波运算,滤波后的数据经过缓存后通过USB2.0总线传输至计算机进行显示、分析和储存等进一步处理。在系统中采用有限状态机对FPGA参数配置模式和滤波模式进行切换,保证了系统的有序运行。-In
  3. 所属分类:Project Design

    • 发布日期:2017-05-21
    • 文件大小:6375164
    • 提供者:mabeibei
  1. FSM

    0下载:
  2. it explains how to write the statemachins
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:667
    • 提供者:reddy
  1. verilog_example

    0下载:
  2. 九个verilog源码例子,包括寄存器,状态机等,含testbench-9 verilog source code examples, including registers, state machines, with testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-06-21
    • 文件大小:5964
    • 提供者:楚寒
  1. Sequencedetector

    0下载:
  2. Sequence detector design ideas are often used to achieve the FSM, the idea is to achieve through the shift register sequence detection
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:31462
    • 提供者:jimmy sia
  1. fsm

    0下载:
  2. 检测输入数据中的“10110”序列,并记录检测到的序列的数目,当序列数目大于3时溢出。 输入信号:iclk //输入时钟 rst_ //复位信号 din //输入串行数据 输出信号:[1:0] catch //检测到的序列的数目 overflow //数目大于3 ,溢出 置高-finite states machine
  3. 所属分类:Other systems

    • 发布日期:2017-04-09
    • 文件大小:664
    • 提供者:黄隽宸
  1. ser_test

    0下载:
  2. 用Moore状态机测试序列1110010-Test the series"1110010" in Moore FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:879
    • 提供者:魏武
  1. FSM

    1下载:
  2. 有限状态机设计指导,详细介绍了设计状态机过程中的有关经验,以及各种状态机设计的相互优劣对比-Finite state machine design guidance, details of the design state machine during the relevant experience, as well as various advantages and disadvantages of each state machine design comparison
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-10-13
    • 文件大小:210109
    • 提供者:lifejoy
  1. FSM

    0下载:
  2. Ebended System for finite state machines-Ebended System for finite state machines
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-17
    • 文件大小:14573
    • 提供者:nafri
  1. Stepper_motor_fsm

    0下载:
  2. stepper motor fsm is the fsm for stepper motor. It indicates the states of stepper motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:640
    • 提供者:Deepak Singh
  1. iiscode

    1下载:
  2. 用Verilog写的一个简单的IIs控制器,分为clkgen时钟分频模块和transcon传输控制模块。其中transcon模块主要部分为一个有限状态机实现的满足IIS标准的输出。 另附一个简单的Testcase以及得到的波形。-Develop an iis controller with verilog hdl. The key parts of iis were departed in two. One is clkgen.v which generate the clk and syn
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:605153
    • 提供者:hgdai
  1. nuevolcd

    0下载:
  2. LCD 2x16 Spartan 3E, Controlador based in FSM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-02
    • 文件大小:722081
    • 提供者:marlof04
  1. two_way_traf_mark

    0下载:
  2. FSM code in verilog, discribing a traffic two way traffic light crossing
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:1213
    • 提供者:zs87112
  1. NS_WiMAX_module-1.02

    0下载:
  2. 1. Download the software ns-allinone-2.28 package 2. Download the latest ns-2 WiMAX module source code. 3. Unzip the ns-2 WiMAX module source code file. 4. Put the files from "mac" directory into ns-allinone-2.28\ns-2.28\mac. 5. Put the
  3. 所属分类:Linux Network

    • 发布日期:2017-03-29
    • 文件大小:314502
    • 提供者:Pham Truong
« 1 2 3 4 5 6 78 9 10 11 12 ... 16 »
搜珍网 www.dssz.com