CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdl

搜索资源列表

  1. Verilog_HDL_language_learning

    0下载:
  2. Verilog HDL语言练习与讲解 里面有很多实用的源代码-Verilog HDL language exercises on the inside and have a lot of useful source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:265284
    • 提供者:李晓东
  1. VerilogHDL

    0下载:
  2. Verilog HDL 华为入门教程-网络上比较经典的学习资料-Verilog HDL Tutorial Huawei- Network Learn more classical information
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:262953
    • 提供者:yu binbin
  1. VerilogHDL_code

    0下载:
  2. 几个常用的接口实验的程序代码,用Verilog HDL语言编写的,包括七段数码管、拨码开关、蜂鸣器、矩阵键盘、串口、I2C、跑马灯等。-Some commonly used experimental procedures for the interface code, using Verilog HDL language, including Seven-Segment LED, DIP switch, buzzer, matrix keyboard, serial, I2C, marquees
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1603267
    • 提供者:shsh
  1. SPI_verilog_mycode

    0下载:
  2. 基于Verilog HDL的SPI代码,可在FPGA上实现SPI接口,请大家参考-Verilog HDL based on the SPI code, implementation in FPGA on SPI interface, please refer to
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1004
    • 提供者:treefan.liang
  1. Mars_EP1C6F_Fundermental_demo(Verilog)

    1下载:
  2. FPGA开发板配套Verilog HDL代码。芯片为Mars EP1C6F。是基础实验的源码。包括加法器、减法器、乘法器、多路选择器等。-FPGA development board supporting Verilog HDL code. Chips for the Mars EP1C6F. Are the basic source experiment. Including the adder, subtraction, and multiplier, such as MUX.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1243671
    • 提供者:chenlu
  1. Microprocessor

    0下载:
  2. 精通verilog HDL语言编程的一个不错的cpu 代码-Verilog HDL language proficiency of a good cpu code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:774062
    • 提供者:孟霑
  1. HuaweiFPGAdesignflowguide

    0下载:
  2. 华为内部的FPGA设计培训教程,详细阐述了设计流程图、Verilog HDL设计、逻辑仿真、逻辑综合。对大家的学习一定有帮助的。-Huawei within the FPGA design training tutorial, a detailed flow chart of the design, Verilog HDL design, logic simulation, logic synthesis. Study of the U.S. must have help.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:34314
    • 提供者:张芸
  1. 16bitCLA

    0下载:
  2. 基于Verilog HDL的16位超前进位加法器 分为3个功能子模块-Verilog HDL-based 16-bit CLA is divided into three functional sub-modules
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7489
    • 提供者:韩伟
  1. traffic

    0下载:
  2. verilog HDl 交通灯的实现,而且这是有别于一般的vhdl语言-verilog HDl traffic light
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:324319
    • 提供者:萧海武
  1. fpadd

    1下载:
  2. 利用verilog hdl编写的浮点加法器运算单元,单精度。-Verilog hdl prepared to use floating-point adder computing unit, single-precision.
  3. 所属分类:Linux-Unix program

    • 发布日期:2017-04-16
    • 文件大小:12452
    • 提供者:孟军
  1. Verilog_HDL_progamming

    0下载:
  2. Verilog-HDL程序设计实用教程收集,内容丰富,设计技巧多样。-Verilog-HDL Design Tutorial practical collection, rich in content and variety of design skills.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-22
    • 文件大小:40601635
    • 提供者:liuxing
  1. BEIHANGVerilogjiaocheng

    0下载:
  2. 北航Verilog教程. Verilog HDL基本结构 数据类型及常量、变量 运算符及表达式 语句 赋值语句和块语句 条件语句 ... -BUAA Verilog Tutorial. Verilog HDL data types and the basic structure of constants, variables and expression operator assignment statements and conditional stat
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2350532
    • 提供者:黄虎
  1. miaobiao

    0下载:
  2. 用Verilog HDL编写的秒表设计,可以实现百分之一秒,十分之一秒,秒,十秒等功能。-Verilog HDL prepared with a stopwatch designed to achieve the hundredth of a second, one-tenth of seconds, seconds, 10 seconds and other functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:6332
    • 提供者:maylag
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181 with Verilog HDL hardware desc
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:667999
    • 提供者:623902748
  1. Revised_Verilog_code

    1下载:
  2. 简弘伦:Verilog HDL IC设计核心技术实例详解 源代码,更新版本-Honglun Jian, Revised Edition. Source coude of " Core Techniques of IC design"
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:508258
    • 提供者:阿光
  1. uart

    0下载:
  2. uart using verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:12125
    • 提供者:imran ahmed
  1. I2C19861208888

    0下载:
  2. i2c总线模拟,verilog hdl编写的总线模拟控制程序-i2c bus simulation, verilog hdl prepared bus analog control procedures
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-05
    • 文件大小:11701
    • 提供者:韩永高
  1. verilog

    0下载:
  2. 中文版Verilog HDL简明教程,很简洁,结合实例,很容易理解,适合初学者。-Chinese version of Verilog HDL A simple tutorial, very simple, with an example, it is easy to understand for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:104016
    • 提供者:邹仁波
  1. DDRSDRAM

    1下载:
  2. DDR SDRAM的veilog hdl程序,经过验证 效果不错-DDR SDRAM' s veilog hdl procedures, good results verified
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:475618
    • 提供者:寒心雪林
  1. verilog_HDL_examples

    0下载:
  2. 本书介绍了大量verilog HDL程序设计的实例,对于verilog语言学习者和从事相关工作的工程师来说,都有一定的学习和参考价值。-The book introduced the verilog HDL programming a large number of examples, the verilog language learners and engineers engaged in related work both in terms of learning and a certai
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:113267
    • 提供者:
« 1 2 3 4 5 67 8 9 10 11 ... 50 »
搜珍网 www.dssz.com