CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdl

搜索资源列表

  1. dongtaishumaguan

    1下载:
  2. 用verilog HDL编写的基于fpga的动态数码管显示程序。-Verilog HDL prepared with fpga based digital control of dynamic display program.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-04-08
    • 文件大小:2913
    • 提供者:maylag
  1. Alarm

    0下载:
  2. 用verilog HDL 写的时钟程序,在DE2上实现了。-Alarm program based on Verilog HDL, run on DE2 Board
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:140965
    • 提供者:张智
  1. DW8051_ALL

    4下载:
  2. 包中包括, DW8051完整的Verilog HDL代码 两本手册: DesignWare Library DW8051 MacroCell, Datasheet DesignWare DW8051 MacroCell Databook 三篇51论文: 基于IP 核的PSTN 短消息终端SoC 软硬件协同设计 Embedded TCP/ IP Chip Based on DW8051 Core 以8051为核的SOC中的万年历的设计 -DW8051 is desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:1587754
    • 提供者:myfingerhurt
  1. Impulse_fft_hw

    0下载:
  2. ImpulseC Codeveloper fft code. This file implements the hardware portion of a 256 sample FFT using a radix-4 algorithm. This implementation demonstrates that results similar to hand-coded HDL can be achieved using the C language, and without using
  3. 所属分类:software engineering

    • 发布日期:2017-03-31
    • 文件大小:4278
    • 提供者:teomondo
  1. A_bit_serial_data_transmitter

    0下载:
  2. 比特序列传送模块 把输入的八位比特数据 做循环后每个比特输出 详细请看英文描述-• To create Verilog-HDL modules written in the RTL style appropriate for both simulation and synthesis, for the various component parts of an Asynchronous Serial Data Transmitter. • To verify th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1725
    • 提供者:吴德昊
  1. Heilbronn_Visit_Design

    0下载:
  2. 海尔布伦 访问状态机 设计 用FSM方式 verilog HDL 语言描述-Heilbronn Visit Design Digital Combination Lock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3950
    • 提供者:吴德昊
  1. TRL_Design_of_a_asynchronous_bit_serial_data_trans

    0下载:
  2. RTL 异步数据传送模块 用verilog HDL 语言描述 输入为八比特数据,执行操作后异步每比特输出。-• To create Verilog-HDL module written in the RTL style appropriate for both simulation and synthesis, for an Asynchronous Serial Data Transmitter. • To verify the correct behavi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:1871
    • 提供者:吴德昊
  1. shifter

    0下载:
  2. 移位运算器SHIFTER 使用Verilog HDL 语言编写,其输入输出端分别与键盘/显示器LED 连接。移位运算器是时序电路,在J钟信号到来时状态产生变化, CLK 为其时钟脉冲。由S0、S1 、M 控制移位运算的功能状态,具有数据装入、数据保持、循环右移、带进位循环右移,循环左移、带进位循环左移等功能。 CLK 是时钟脉冲输入,通过键5 产生高低电平M 控制工作模式, M=l 时带进位循环移位,由键8 控制CO 为允许带进位移位输入,由键7 控制:S 控制移位模式0-3 ,由键6 控制
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-23
    • 文件大小:129279
    • 提供者:623902748
  1. VHDL

    0下载:
  2. vhdl的上机手册,对刚开始学hdl的朋友比较实用。-vhdl-on manual, just started to learn more practical friend hdl.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-03
    • 文件大小:517605
    • 提供者:冯光
  1. moore

    0下载:
  2. 主要介绍moore状态机的详细功能及应用,程序是用hdl写的!-Moore state machines are introduced in detail the function and application of the procedure is written hdl!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-28
    • 文件大小:481842
    • 提供者:冯光
  1. HDLcodingstyle

    0下载:
  2. verilog HDL 代码综合风格,非常适合初学者-verilog HDL code integrated style, very suitable for beginners
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:1680258
    • 提供者:许伟
  1. SY10

    0下载:
  2. 本文介绍了乐曲演奏电路的设计与实现中涉及的CPLD/FPGA可编程逻辑控件,开发环境MAX+PLUSⅡ,硬件描述语言HDL以及介绍了在MAX+PLUSⅡ的EDA 软件平台上, 一种基于FPGA 的乐曲发生器的设计方法, 并给出了设计的顶层电路图和底层模块的VHDL(或AHDL)源程序。该设计的正确性已通过硬件实验得到验证。 -The musical performance circuit’s design and implement Abstract: This paper introd
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:307323
    • 提供者:guo
  1. statemachine

    0下载:
  2. 用verilog HDL实现状态机的设计-Verilog HDL make the state machine come true
  3. 所属分类:Other systems

    • 发布日期:2017-03-29
    • 文件大小:113690
    • 提供者:华钦
  1. Linux_bc

    1下载:
  2. 对vga接口做了详细的介绍,并且有一 ·三段式Verilog的IDE程序,但只有DMA ·电子密码锁,基于fpga实现,密码正 ·IIR、FIR、FFT各模块程序设计例程, ·基于逻辑工具的以太网开发,基于逻 ·自己写的一个测温元件(ds18b20)的 ·光纤通信中的SDH数据帧解析及提取的 ·VHDL Programming by Example(McGr ·这是CAN总线控制器的IP核,源码是由 ·FPGA设计的SDRAM控制器,有仿真代码 ·xili
  3. 所属分类:Linux-Unix program

    • 发布日期:2015-03-19
    • 文件大小:18683907
    • 提供者:liuzhou
  1. CCDDRIVE(TCD1206UD)

    0下载:
  2. 关于一款线阵CCD TCD1206UD 的驱动设计,波形符合工作要求-On how the system in SOPC using HDL language development from a custom IP core
  3. 所属分类:Other systems

    • 发布日期:2017-03-24
    • 文件大小:366260
    • 提供者:hebei
  1. Verilog-HDL-code

    0下载:
  2. verilog 经典例子的源码 非常适用于初学verilog的朋友们-classic example of verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:51635
    • 提供者:李晨
  1. 52

    0下载:
  2. Verilog HDL中关于赋值语句的ppt-ppt on Verilog HDL assignment statements
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:11282
    • 提供者:Zhou
  1. hdl

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:31962
    • 提供者:yexianchun
  1. 16weijiafaqi

    0下载:
  2. 本程序是在一位全加器的基础上设计一个16位的加法器,用Verilog HDL语言描述.-This procedure is a full-adder based on the design of a 16-bit adder, using Verilog HDL language to describe.
  3. 所属分类:assembly language

    • 发布日期:2017-04-09
    • 文件大小:660
    • 提供者:陈什江
  1. hdl

    0下载:
  2. 所属分类:3G develop

    • 发布日期:2017-04-07
    • 文件大小:142861
    • 提供者:mike
« 1 2 ... 45 46 47 48 49 50»
搜珍网 www.dssz.com