CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - hdl

搜索资源列表

  1. VGA_driver_verilog

    0下载:
  2. 基于Verilog HDL的VGA驱动程序设计-Based on Verilog HDL design of the VGA driver
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:59325
    • 提供者:蓝色的海
  1. verilog_led

    0下载:
  2. 基于Verilog HDL的数码管程序设计-Verilog HDL-based digital control programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:85690
    • 提供者:蓝色的海
  1. DDR2Controller

    0下载:
  2. DDR2 Controller DDR2 Controller
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:312487
    • 提供者:tg
  1. fftshixian

    0下载:
  2. OFDM系统中FFT的Verilog HDL 语言实现。-OFDM system FFT of Verilog HDL language.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-08
    • 文件大小:14512313
    • 提供者:江金华
  1. tony_wu

    0下载:
  2. Verilog HDL程序 Verilog HDL程序-Verilog HDL procedural procedures Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3221
    • 提供者:Tony_Wu
  1. EDAshuzhizhong

    0下载:
  2. 设计一个能进行时、分、秒计时的十二小时制或二十四小时制的数字钟,并具有定时与闹钟功能,能在设定的时间发出闹铃音,能非常方便地对小时、分钟和秒进行手动调节以校准时间,每逢整点,产生报时音报时。实验平台: 1. 一台PC机; 2. MAX+PLUSII10.1。 Verilog HDL语言实现-The design of a can be hours, minutes, seconds time of 12 hours or 24 hours system, digital clock, and h
  3. 所属分类:Other systems

    • 发布日期:2017-04-02
    • 文件大小:128125
    • 提供者:nong
  1. project2

    0下载:
  2. 能算出CRC32 Data width 32 bit 的HDL-Is able to calculate the CRC32 Data width 32 bit of the HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:32301
    • 提供者:梁子
  1. hdl

    0下载:
  2. 在EDK的环境下的嵌入式源代码,EDK初级使用实例!方便初学者的使用!-EDK environment in the embedded source code, EDK primary use case! To facilitate the use of beginners!
  3. 所属分类:Communication

    • 发布日期:2017-04-25
    • 文件大小:34162
    • 提供者:sq
  1. test_vhdl

    0下载:
  2. vhdl测试程序,用于初雪者熟悉hdl的具体语法应用。比较简单了。-VHDL test procedure for the First Snow hdl who are familiar with the application of specific syntax. A relatively simple.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:2950
    • 提供者:韩风
  1. verilog_hdl

    0下载:
  2. 精通verilog_hdl语言编程实例程序代码,基于verilog硬件语言的程序设计实例,主要是数字电路方面-Verilog_hdl proficient in language programming examples of program code, based on the Verilog hardware design language of the procedure, the main aspects of digital circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:49342
    • 提供者:songjunmin
  1. VHDL_note

    0下载:
  2. VHDL是由美国国防部为描述电子电路所开发的一种语言,其全称为(Very High Speed Integrated Circuit) Hardware Descr iption Language。 与另外一门硬件描述语言Verilog HDL相比,VHDL更善于描述高层的一些设计,包括系统级(算法、数据通路、控制)和行为级(寄存器传输级),而且VHDL具有设计重用、大型设计能力、可读性强、易于编译等优点逐渐受到硬件设计者的青睐。但是,VHDL是一门语法相当严格的语言,易学性差,特别是对于刚开始
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:108294
    • 提供者:小刚
  1. verilog_slides

    0下载:
  2. What is Verilog? ➥ Verilog HDL is a Hardware Descr iption Language (HDL) ➥ Verilog HDL allows describe designs at a high level of abstraction as well as the lower implementation levels ➥ Primary use of HDLs is the simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:14154
    • 提供者:小刚
  1. hdladvance

    0下载:
  2. Advanced HDL Design Training On Xilinx FPGA-Advanced HDL DesignTraining On Xilinx FPGA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-07
    • 文件大小:1345392
    • 提供者:小刚
  1. clock

    0下载:
  2. 用Verilog HDL 实现时钟(时和分)-designed Clock(minutes and second) by Verilog HDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:250093
    • 提供者:陈阿水
  1. QUARTUS_II_compile_and_simulate

    0下载:
  2. Verilog HDL 在QUARTUS II下的编译和仿真顺序-Verilog HDL in QUARTUS II compiler and simulation under the order of
  3. 所属分类:File Formats

    • 发布日期:2017-05-09
    • 文件大小:1568320
    • 提供者:陈阿水
  1. FPGA_FFT

    0下载:
  2. 基于FPGA的高速FFT处理器的设计与实现-FPGA-based high-speed FFT Processor Design and Implementation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:74381
    • 提供者:萧球水
  1. 01_Verilog_Code

    0下载:
  2. basic verilog hdl programming code
  3. 所属分类:source in ebook

    • 发布日期:2017-04-27
    • 文件大小:45534
    • 提供者:okanplusz
  1. a_block_with_several_functions_with_Verilog_HDL.ra

    1下载:
  2. Verilog是广泛应用的硬件描述语言,可以用在硬件设计流程的建模、综合和模拟等多个阶段。随着硬件设计规模的不断扩大,应用硬件描述语言进行描述的CPLD结构,成为设计专用集成电路和其他集成电路的主流。通过应用Verilog HDL对多功能电子钟的设计,达到对Verilog HDL的理解,同时对CPLD器件进行简要了解。 本文的研究内容包括: 对Altera公司Flex 10K系列的EPF10K 10简要介绍,Altera公司软件Max+plusⅡ简要介绍和应用Verilog HDL对多功能
  3. 所属分类:VHDL编程

    • 发布日期:2017-05-05
    • 文件大小:482983
    • 提供者:li
  1. vhdlfftdesign

    0下载:
  2. 浮点FFI,的VHDL实现及HDL功能测试方法的研究 附录B加法处理器测试平台代码 附录CFFT处理器的测试平台代码-The floating-point FFI company encourages, implement and function testing HDL VHDL method The appendix B addition processor test platform code Appendix CFFT processor test platform co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:3089
    • 提供者:王晓
  1. color_space_converters

    0下载:
  2. Color space converter in Verilog HDL
  3. 所属分类:Other systems

    • 发布日期:2017-04-26
    • 文件大小:44876
    • 提供者:megkel
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com