CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - testbench

搜索资源列表

  1. custom_cordic

    0下载:
  2. verilog编程开发的cordic例程,计算SIN,COS功能与计算幅值角度功能可设定,运算宽度可设定,并有完善的TESTBENCH。-Verilog programming developed CORDIC routines to calculate SIN, COS function and calculating the amplitude of the perspective of function can be set, computing the width can be set,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:120544
    • 提供者:yangyu
  1. XiaYuWen_8_RISC_CPU

    2下载:
  2. 夏宇闻8位RISC_CPU的完整代码+TESTBENCH(已调试) modelsim工程文件,包括书中所测试的三个程序和相关数据,绝对可用~所有信号名均遵从原书。在论坛中没有找到testbench的,只有一个mcu的代码,但很多和书中的是不一样的,自己改了下下~`````大家多多支持啊~`我觉得书中也还是有些不尽如人意的地方,如clk_gen.v中clk2,clk4是没有用的,assign clk1=~clk再用clk1的negedge clk1来触发各个module也是不太好的,会使时序恶
  3. 所属分类:source in ebook

    • 发布日期:2015-04-10
    • 文件大小:86714
    • 提供者:刘志伟
  1. testbench

    0下载:
  2. ddr sdram controller datd module source code
  3. 所属分类:Other systems

    • 发布日期:2017-04-14
    • 文件大小:2860
    • 提供者:KrishnaKishore
  1. spi2-testbench

    0下载:
  2. test bench for spi communication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:966
    • 提供者:Onur
  1. testbenchcpu8080

    0下载:
  2. this is code testbench cpu -this is code testbench cpu 8080
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-15
    • 文件大小:6338
    • 提供者:minh
  1. vrt

    1下载:
  2. Variable Reduction Testbench通过对变量进行相关性分析来实现减少变量的目的。-Variable Reduction Testbench is a MATLAB module that allows the application of several methods for variable reduction based on correlation analysis
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:138736
    • 提供者:宁宁
  1. pcie_vera_tb_latest.tar

    0下载:
  2. FEATURES • 16 bit PIPE Spec PCI Express Testbench • Link training • Initial Flow Control • Packet Classes for easy to build PHY,DLLP and TLP packets • DLLP 16 bit CRC and TLP LCRC generation • Sequence Number
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-24
    • 文件大小:170066
    • 提供者:Arun
  1. generic_testbench

    0下载:
  2. VHDL中关于generic的用法,及其testbench,可以使用Modelsim仿真查看其功能-the usage of generic,a testbench file is given, we can use it to simulate the generic s function
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-01-26
    • 文件大小:1699
    • 提供者:xietianjiao
  1. UARTtransmitter

    0下载:
  2. UART Transmitter. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:2475
    • 提供者:mehmet
  1. shiftregister

    0下载:
  2. Shift Register. VHDL code and its testbench.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1193
    • 提供者:mehmet
  1. register

    0下载:
  2. it is source code of 32 bit register and testbench for tht register written in verilog.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:12953
    • 提供者:bhaskar
  1. 20081129464173846

    0下载:
  2. 介绍Verilog HDL, 内容包括: – Verilog应用 – Verilog语言的构成元素 – 结构级描述及仿真 – 行为级描述及仿真 – 延时的特点及说明 – 介绍Verilog testbench • 激励和控制和描述 • 结果的产生及验证 – 任务task及函数function – 用户定义的基本单元(primitive) – 可综合的Verilog描述风格-Introduced the Verilog HDL, in
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:744965
    • 提供者:卢志文
  1. cascaded_adder

    0下载:
  2. implementation of cascade adder with verilog plus testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:4377
    • 提供者:shabnam
  1. contador_n_bits

    0下载:
  2. n-bits counter vhdl with testbench. contador de nbits en vhdl con simulacion.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:555
    • 提供者:emiliano
  1. BMD.RAR

    0下载:
  2. xilinx BMD ver 10 pciexpress testbench for master design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:15694
    • 提供者:kventin
  1. ascfifotestbench

    0下载:
  2. 自写异步 fifo TESTBench 该fifo对初学者很有帮助!-Since the write fifo TESTBench asynchronous fifo very helpful for beginners!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:66102
    • 提供者:丁昌圣
  1. rom_table

    0下载:
  2. rom vector table vhdl and Testbench
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:172341
    • 提供者:KoBin
  1. asynfifo

    0下载:
  2. 异步fifo,用Verilog编写,包含testbench,已经通过调试,需要的下载-Asynchronous fifo, to prepare to use Verilog, including testbench, debugging has been passed, the need to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:25264
    • 提供者:iechshy1985
  1. alu

    0下载:
  2. ALU modeling verilog codes and testbench
  3. 所属分类:Other systems

    • 发布日期:2017-03-30
    • 文件大小:546176
    • 提供者:neorome
  1. Modelsim_fredevider_testbench_TEXTIO

    1下载:
  2. 此文档通过分频器的例子描述了如何使用modelsim,如何编写testbench以及textio的使用-This document is an example through the divider describes how to use the modelsim, how to write a testbench and use textio
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-07-01
    • 文件大小:255812
    • 提供者:二米阳光
« 1 2 34 5 6 7 8 9 10 ... 39 »
搜珍网 www.dssz.com