CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl

搜索资源列表

  1. moter

    1下载:
  2. VHDL写的PWM发生器,仿真通过,波形基本完美,可以用于直流电机的控制-PWM generator written in VHDL, simulation is passed, the basic waveform perfect, can be used for DC motor control
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:897211
    • 提供者:dansen
  1. vhdl

    0下载:
  2. vhdl基本门电路,都是些比较经典的设计实例-VHDL basic gate circuit, are relatively more examples of classic design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1837876
    • 提供者:jcak
  1. SPI_Interface

    0下载:
  2. SPI接口的vhdl代码,可以实现与单片机的spi通信,完整的工程-SPI interface of the VHDL code can be achieved with SCM spi communication, complete works
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:4095
    • 提供者:wanyou2345
  1. 8051

    0下载:
  2. 8051单片机VHDL内核,内有说明,很详细,值得下载-8051 VHDL core, which has made it clear that, in great detail, it is worth downloading
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:739326
    • 提供者:dushibiao
  1. usb_funct

    0下载:
  2. VHDL USB2.0接口源码,内有说明,详细.-VHDL USB2.0 interface source code, which is described in detail.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:196926
    • 提供者:dushibiao
  1. pwm

    0下载:
  2. 实现PWM波型....使用VHDL语言-Realization of PWM waveform using the VHDL language ....
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:371245
    • 提供者:xxj
  1. DCT

    0下载:
  2. 用于视频图像编码的8×8DCT变换,可用于MPEG4.H263等VHDL编程-For video images encoded 8 × 8DCT transform, can be used to MPEG4.H263 such as VHDL Programming
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:108768
    • 提供者:zs
  1. VDHL

    0下载:
  2. 电梯的设计·用来控制6层的电梯设计原来·VHDL语言-Elevator designed to control the lift design 6 original VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:162737
    • 提供者:tdh
  1. motor

    2下载:
  2. ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2013-10-17
    • 文件大小:2608
    • 提供者:lauking
  1. shuzizhong

    0下载:
  2. 可预置数字钟,用VHDL语言编写,LED显示,普通数字钟表。-Digital clock can be preset using VHDL language, LED display, an ordinary digital watch.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1422524
    • 提供者:pj
  1. VHDLProgrammingbyExample

    0下载:
  2. VHDL启蒙书,我在国外读书的老师推荐的-VHDL enlightening book, I was studying abroad teachers recommended
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-20
    • 文件大小:32596493
    • 提供者:laSiA
  1. dct-code

    0下载:
  2. 离散余弦变换的VHDL实现,不错的代码和方法-Discrete cosine transform VHDL realization of good code and methods
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:31083
    • 提供者:宋雪兵
  1. 2DImageFilterByVHDL

    0下载:
  2. 用VHDL语言编程实现2维图像的滤波算法,简单精辟-VHDL programming language used to achieve 2-D image filtering algorithm, simple brilliant
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:14046
    • 提供者:宋雪兵
  1. colorspaceconversion

    0下载:
  2. 用于视频压缩编码中的RGB信号到色差信号变换的VHDL程序,非常实用-For video compression coding of the RGB signal to the color difference signal transform VHDL procedures, very useful
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:305071
    • 提供者:zs
  1. CuFIFO

    0下载:
  2. fifo的vhdl代码,比较简单,适合初学。-fifo the VHDL code, is relatively simple, suitable for beginners.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1772
    • 提供者:billfan
  1. formatter

    0下载:
  2. Actel 基本VHDl模块源代码,包括BCD、LCD、PLL等-Actel basic VHDL source code modules, including BCD, LCD, PLL, etc.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1480
    • 提供者:曾捷
  1. 32-bit_multiplier_model

    0下载:
  2. 此程序为32-bit乘法器,另附有VHDL测试程序-This procedure for 32-bit multiplier, followed VHDL test procedures
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-13
    • 文件大小:2304
    • 提供者:zhaohongliang
  1. VHDL

    0下载:
  2. 一个实现整数分频的VHDL代码,只要把n设置成你所需要的分频的数值就行-A realization of an integer divider of the VHDL code, as long as the n set you need the sub-frequency values on the line
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:996
    • 提供者:褚如龙
  1. UART

    1下载:
  2. 串口实验,很好用,我还有verilog HDL VHDL CPLD EPM1270 源代码-Serial experiments, very good, and I still have the source code verilog HDLVHDL CPLDEPM1270
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:339331
    • 提供者:韩思贤
  1. S4_LCD_VHDL

    0下载:
  2. 基于ep1c6的vhdl的lcd控制程序实例 -Based on the VHDL ep1c6 the lcd control procedures examples
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:323218
    • 提供者:ones
« 1 2 ... 41 42 43 44 45 4647 48 49 50 »
搜珍网 www.dssz.com