CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - vhdl

搜索资源列表

  1. 100exampleofvhdl

    0下载:
  2. 100个VHDL例子,包括各种逻辑门、组合逻辑电路及时序电路-100 VHDL examples, including a variety of logic gates, combinational logic circuit and timing circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-26
    • 文件大小:328571
    • 提供者:潘斌
  1. vhdl

    0下载:
  2. 学习VHDL可以用得上,有很多实例,可以对照着自己写一些东西-VHDL can be useful to learn, there are many examples, can be done to write something
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-22
    • 文件大小:6995968
    • 提供者:王亮
  1. 200741691252

    1下载:
  2. dds源代码,vhdl程序,函数信号发生器。-dds source code, vhdl procedure, function signal generator.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-06
    • 文件大小:4859
    • 提供者:吴飞
  1. HW_songer_tiexuedanxin

    0下载:
  2. 用VHDL编写的播放器,播放射雕英雄传主题曲之《铁血丹心》,另附编码表WORD档-Using VHDL prepared player, the player shooting Heroes theme song of
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7293
    • 提供者:王冰
  1. uart

    0下载:
  2. 用VHDL实现的一个uart控制器,输入时钟为33M-Use VHDL to achieve a UART controller, input clock for the 33M
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:1364
    • 提供者:mu
  1. pwm-c

    0下载:
  2. 用VHDL编写的PWM控制程序,通过寄存器控制20余路PWM输出;qar是quartus的压缩包格式-VHDL prepared using PWM control procedures, through the registers to control more than 20 road PWM output qar is Quartus compressed packet format
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:120227
    • 提供者:mu
  1. davincihd_revf_ver6

    0下载:
  2. DaVinci HD CPLD Firmware Resources 这是TI原装开发板DM6467原理图的 CPLD的VHDL代码,是Spectrum Digital, Inc刚开发出来的! CPLD Firmware Project CPLD Firmware Project (Version 6).-DaVinci HD CPLD Firmware Resources This is the original TI development board DM6467 Schema
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:460660
    • 提供者:liliang
  1. VHDL

    0下载:
  2. 适合VHDL开发的中级教程,是比较经典的书籍-Intermediate VHDL for the development of curriculum, which is a more classic books
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-18
    • 文件大小:4864085
    • 提供者:wanghui
  1. miniUART

    0下载:
  2. 自适应波特率的通用异步串行接口电路(UART)的VHDL源码,在ALTERA上运行成功-Adaptive baud rate of the universal asynchronous serial interface circuit (UART) the VHDL source code, to run successfully in ALTERA
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:9425
    • 提供者:甘甜
  1. Triangle_Wave_generater

    0下载:
  2. 采用vhdl语言编程,基于quartus平台的三角波仿真。-Using VHDL language programming, based on the Quartus triangular wave simulation platform.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:2029282
    • 提供者:苏苏
  1. SIN

    0下载:
  2. 使用VHDL语言和CPLD芯片生成39KHz的信号-The use of VHDL language and CPLD chip 39KHz signal generated
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:219195
    • 提供者:Beyond
  1. crcm

    0下载:
  2. crc 校验,vhdl源码,经仿真能正常运行,供大家参考-CRC checksum, vhdl source, the simulation can be normal operation, for your reference
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1023
    • 提供者:fangliang
  1. dff

    0下载:
  2. 用vhdl编写的D触发器,锁存器等,不需帐号就可自由下载此源码-VHDL prepared using D flip-flops, latches and so on, no account can be a free download this source
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:1068
    • 提供者:daniel
  1. ARM_and_Verilog

    0下载:
  2. arm处理器的vhdl源代码编写,可以参考-arm processor VHDL source code to prepare, can refer to
  3. 所属分类:Other systems

    • 发布日期:2017-05-13
    • 文件大小:3227080
    • 提供者:黄伟
  1. digitalclock.vhd

    0下载:
  2. 实现电子钟的功能,使用VHDL编程语言,调试已经通过-Electronic clock function, the use of VHDL programming language, debugging has been passed
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1773
    • 提供者:carsu
  1. vhdl

    0下载:
  2. 学习vhdl硬件描述语言的一些例子的原代码-VHDL hardware descr iption language to learn some examples of the original code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:255101
    • 提供者:dream
  1. zhiliu_dianji

    0下载:
  2. 直流电机的VHDL源程序,经过编译和仿真.-DC motor VHDL source code, after compilation and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:818618
    • 提供者:何情
  1. cd

    1下载:
  2. 一个彩灯循环控制的VHDL程序,功能还可添加.-Cycle control of a lantern VHDL procedures, functions can also be added.
  3. 所属分类:MPI

    • 发布日期:2017-03-29
    • 文件大小:357607
    • 提供者:何情
  1. adder1

    0下载:
  2. 一个全加器的VHDL程序,经过编译和仿真.-A full adder of the VHDL program, after compiling and simulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:153331
    • 提供者:何情
  1. FFT_VHDL

    0下载:
  2. fft是基本的信号处理算法,本程序为fft算法的VHDL语言-fft is a fundamental signal processing algorithms, the procedures for the fft algorithm VHDL language
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:29247
    • 提供者:老李飞刀
« 1 2 ... 42 43 44 45 46 4748 49 50 »
搜珍网 www.dssz.com