CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 序列检测器

搜索资源列表

  1. vhdl

    0下载:
  2. 检测一组或多组又二进制码组成的脉冲序列信号,当序列检测器连续收到一组或多组序列信号,如果与预先设置的码相同的时候,输出1,否则输出0. -Detection of one or more group was composed of binary code pulse train signal, when the sequence detector continuous sequence of one or more groups received signal, if the same co
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:125181
    • 提供者:venny
  1. seqdet

    0下载:
  2. 经典编码风格的序列检测器,综合效率高,很有学习价值。-Coding sequence of the classic style of the detector, integrated, high efficiency, great learning value.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:36320
    • 提供者:郑宇龙
  1. work1

    0下载:
  2. 实现序列检测器的功能,检验序列代码是否正确,给出说明。-Detector to achieve the function of sequence to test serial code is correct, given instructions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:313119
    • 提供者:吴低
  1. Sequence-Detector-State-Machine

    0下载:
  2. 状态机序列检测器设计,包含程序在内,该程序是检测1101-Sequence detection state machine design, including the program included, the program is to test 1101
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:6478
    • 提供者:lizhengye
  1. Seqcheck

    0下载:
  2. 用VHDL编写的序列检测器,是完整工程。-Written by VHDL sequence detector is a complete project.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:90842
    • 提供者:
  1. text

    0下载:
  2. 序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号。本系统用状态机来实现序列(1110010)的序列检测器的设计,若系统检测到串行序列 1110010 则输出为 1 ,否则输出为 0 ,并对其进行波形和功能仿真。-Sequence detection can be used to detect one or more groups formed by the binary code pulse train signal. The system implemented by the st
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:79592
    • 提供者:小白
  1. 3

    0下载:
  2. 序列检测器。用于检测一组由二进制码组成的脉冲序列信号,在数字通信中有着广泛的应用。当序列检测器连续收到一组串行二进制码后,如果这组码与检测器中预先设置的码相同,则输出A,否则输出B。由于这种检测的关键在于正确码得收到必须是连续的,这就要求检测器必须记住前一次的正确码以及正确序列,直到在连续的监测中所收到的每一位码都与预置的对应码相同。在监测过程中,任何一位不相等都将回到某一状态(并不一定是初始状态)。-Sequential detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:3391
    • 提供者:成思远
  1. Serial_ck

    0下载:
  2. 特定序列检测器,VHDL语言实现,采用状态机的编程思想,同时程序中的被检测序列可以稍微修改以满足自己的需要-Specific sequence detection, VHDL language, the use of state machine programming ideas, and the program sequence can be detected in the slightly modified to meet their own needs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:239990
    • 提供者:张松松
  1. detect

    0下载:
  2. 基于QuartusII的序列检测器,可下载到实验箱-Based on the sequence QuartusII detector, can be downloaded to test me
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-05-10
    • 文件大小:2545224
    • 提供者:yhy
  1. ztj

    0下载:
  2. 摩尔状态机检验程序,序列检测器,1100101检测-Moore state machine testing procedures, the sequence detector, 1100101 test
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:262850
    • 提供者:xuefj
  1. seqbet

    0下载:
  2. 10011序列检测器,verilog语言编写的检测器-10011 sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-23
    • 文件大小:109179
    • 提供者:gaochunjia
  1. state

    0下载:
  2. 实现的是序列检测器,给出输入序列,并进行检验,如果满足连续为110011101则输出为1-To achieve the sequence detector, given the input sequence, and tested, if the continuous output of 1 to 110,011,101
  3. 所属分类:software engineering

    • 发布日期:2017-04-10
    • 文件大小:590
    • 提供者:wangwei
  1. xulie

    0下载:
  2. 基于FPGA的任意序列检测器,其中有序列发生器-FPGA-based detection of any sequence, including sequence generator
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:478829
    • 提供者:WR
  1. Program2

    0下载:
  2. 将8位待测预置数作为外部输入信号,即可以随时改变序列检测器中的比较数据。写出此程序的符号化单进程有限状态机。-The 8-bit pre-measured as the number of external input signal, which can change at any time in the sequence comparison of the data detector. Write the symbol of this process a single process fini
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:530
    • 提供者:釉雪Dreamer
  1. seqdet

    0下载:
  2. 用VERILOG 语言进行的序列检测器设计,初学者多用于练习。-Sequence detector design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:25623
    • 提供者:zhangxina
  1. VHDL_design

    0下载:
  2. 以VHDL设计一有限状态机构成的序列检测器。序列检测器是用来检测一组或多组序列信号的电路,要求当检测器连续收到一组串行码(如1110010)后,输出为1,否则输出为0。-With VHDL Design into a finite state machine sequence detector. Sequence detector is used to detect the signal sequence of one or more groups of circuits, require th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:47375
    • 提供者:陈倩
  1. zhuangtaiji

    0下载:
  2. 用状态机实现序列检测器的设计,并对其进行仿真和硬件测试。-With the sequence detector state machine design, and its simulation and hardware testing.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:11217
    • 提供者:shangyan
  1. VHDL-node

    0下载:
  2. VHDL的一些实验代码,其中有4位可逆计数器,4位可逆二进制代码-格雷码转换器设计、序列检测器的设计、基于ROM的正弦波发生器的设计、数字密码锁的设计与实现-Some experiments of VHDL code, which has four reversible counters, four reversible binary code- Gray code converter design, sequence detection Design, ROM-based sine wav
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:48941
    • 提供者:张联合
  1. machine-design-

    0下载:
  2. 状态机实现序列检测器的设计,了解一般状态机的设计与应用-State machine to implement sequence detector design, understand the general state machine design and application
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:73928
    • 提供者:李月兰
  1. vhdl

    0下载:
  2. VHDL实验 序列检测器的设计与实现-Design and Implementation of VHDL experimental sequence detector
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:544
    • 提供者:天行者
« 1 2 3 45 6 7 8 9 »
搜珍网 www.dssz.com