CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - verilog

搜索资源列表

  1. FPGA-verilog

    0下载:
  2. 用Verilog语言编写的一些简单的FPGA入门实验,用ALTERA DE2开发板和Quartus_II软件开发环境。包括:流水灯实验、数码管显示实验-With Verilog language preparation some simple introduction experiment, with FPGA ALTERA DE2 development board and Quartus_II software development environment. Include water l
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:10264
    • 提供者:星光依旧
  1. IEEE.Standard.Verilog.Hardware.Description.Languag

    0下载:
  2. IEEE Standard Verilog Hardware Descr iption Language-IEEE Standard Verilog Hardware Descr iption Language(
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:2177879
    • 提供者:liukai
  1. Testbench(Verilog)

    0下载:
  2. verilog验证平台的使用 很不错 很详细 想具体-verilog verification platform is more like using a very good specific
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:350680
    • 提供者:guoguo
  1. Verilog

    0下载:
  2. 用verilog实现七位最大公约数的算法,使用状态机,可仿真电路图-Seven with the greatest common divisor algorithm verilog implementation, the use of state machine circuit simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:324919
    • 提供者:LEEY
  1. Verilog-HDL

    0下载:
  2. 这是关于VERILOG HDL的有限状态机的源码,大家参考参考,应该有好处的。-This is about VERILOG HDL source code for finite state machines, we refer to the reference, it should be good.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-20
    • 文件大小:6126129
    • 提供者:罗啰
  1. Verilog--coding--style

    0下载:
  2. Verilog可综合代码编写风格介绍。属于HW中央逻辑开发部的绝密资料,加上本人的总结而成。喷血推荐。-The coding style of Verilog language. It is very useful for verilog system developer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:448512
    • 提供者:liangyao
  1. CRC-Generator-for-Verilog-or-VHDL

    0下载:
  2. CRC Generator for Verilog or VHDL-CRC Generator for Verilog or VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:2694
    • 提供者:wz
  1. sdr-sdram-(verilog)

    1下载:
  2. Altera的SDR SDRAM模型,verilog实现,带说明书文件以及仿真文件、SDRAM原型文件。-Altera' s SDR SDRAM model, verilog implementation, with manual files and simulation files, SDRAM prototype file.
  3. 所属分类:VHDL编程

    • 发布日期:2016-11-15
    • 文件大小:777404
    • 提供者:左左
  1. Verilog-Digital-System

    0下载:
  2. Verilog数字系统设计教程-夏宇闻,详细介绍verilog语法及大量范例说明-Verilog Digital System Design Tutorial- Xia Yu Wen
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-14
    • 文件大小:22794534
    • 提供者:cs
  1. slave-ram-verilog

    0下载:
  2. ram代码 用verilog写的,有文字说明-verilog code of ram
  3. 所属分类:Linux Network

    • 发布日期:2017-04-05
    • 文件大小:34303
    • 提供者:张明
  1. verilog-usb--protel-design

    0下载:
  2. 基于FPGA的usb2.0 ip核设计,所用的语言是verilog-FPGA-based usb2.0 ip core design, the language used is the verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:53135
    • 提供者:唐明桂
  1. verilog-traffic-light

    0下载:
  2. 基于VerilogHDL设计的交通灯控制系统本设计利用Verilog HDL 语言、采用层次化混合输入方式,可控制4个路口的红、黄、绿、左转四盏信号灯,让其按特定的规律进行变化。 -This design using Verilog HDL language, adopt hierarchical mixed input method, four intersection control of red, yellow, green, left four lamp lights, let its
  3. 所属分类:software engineering

    • 发布日期:2017-03-29
    • 文件大小:98255
    • 提供者:镶牙另
  1. Verilog-Round-Robin-Arbiter-Model.tar

    2下载:
  2. Verilog Round Robin Arbiter Model
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-22
    • 文件大小:1253
    • 提供者:pippo
  1. verilog

    0下载:
  2. verilog 一些语音模块 方便平时应用-verilog Module some useful speech module
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:114226
    • 提供者:
  1. Verilog-HDL_PPT

    0下载:
  2. 《数字系统设计与Verilog HDL(第4版)》课件-" Digital System Design and Verilog HDL (4th Edition)" Courseware
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2016-05-01
    • 文件大小:5429248
    • 提供者:薛正亮
  1. verilog

    0下载:
  2. 数字锁相环电路verilog源代码 开发环境quartus-Digital PLL circuit verilog source code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1183
    • 提供者:louxy
  1. verilog-encoder

    2下载:
  2. JPEG的編碼器 使用VERILOG以硬體實現 也使用MODEL模擬驗證-JPEG encoder using the VERILOG hardware implementation is also used to simulate authentication MODEL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:24888
    • 提供者:林曉彬
  1. modelsim-for-verilog

    0下载:
  2. verilog或VHDL编辑仿真软件的使用方法,个人用过觉得很不错,所以在此推荐给大家-editing verilog or VHDL simulation software to use, personally feel very good used, so this recommendation to you
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:999767
    • 提供者:tiphen
  1. The-Verilog-Hardware-Description-Language-5E-(Tho

    0下载:
  2. The Verilog Hardware Descr iption Language 5E (Thomas & Moorby)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-23
    • 文件大小:7345363
    • 提供者:Jo
  1. SDRAM-verilog

    0下载:
  2. SDRAM读写控制的实现与Modelsim仿真-verilog-SDRAM read and write control to achieve with the Modelsim simulation-verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-09
    • 文件大小:2196686
    • 提供者:sjdbjs
« 1 2 3 4 5 6 7 89 10 11 12 13 ... 50 »
搜珍网 www.dssz.com