CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 倍频

搜索资源列表

  1. 实用倍频电路

    0下载:
  2. fpga实用倍频电路-Frequency Circuit
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:24548
    • 提供者:王中正
  1. 8倍频vhdl

    3下载:
  2. 该文件可用vhdl语言实现时钟8倍频,运行环境可在maxplus2和ise的仿真软件上-the document available VHDL Language 8 clock frequency, the operating environment and ideally maxplus2 simulation software
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:998
    • 提供者:罗兵武
  1. EP2C20_TEST.rar

    1下载:
  2. 内含无刷电机驱动VHDL模块,读码盘4倍频模块,并用NIOS核实现简单无刷电机闭环控制。,Brushless motor driver includes VHDL modules, reading frequency module plate 4, and nuclear NIOS simple closed-loop control of brushless motor.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-07-29
    • 文件大小:15531593
    • 提供者:王少鸷
  1. beipin

    0下载:
  2. FPGA工程文件,可以实现倍频以及小数倍频功能-FPGA PROJECTION
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:226197
    • 提供者:hzh
  1. beipin_top

    1下载:
  2. 次代码利用verilog HDL来描述的,可以实现2倍频功能,只是频率有一点误差。-Times verilog HDL code to describe the use of, 2 octave function can be achieved, but the frequency of a bit error.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:101346
    • 提供者:刘三平
  1. DCM

    0下载:
  2. ISE实现DCM组建例化,得到3倍频时钟-ISE to achieve established cases of DCM, received 3 octave clock
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:370336
    • 提供者:ll
  1. fq_div

    0下载:
  2. pll 的64倍频 锁相环技术用 实现倍频 从而达到对频率的分频-pll 64 multiplier PLL multiplier used to achieve so as to achieve the sub-band of frequencies
  3. 所属分类:MiddleWare

    • 发布日期:2017-04-04
    • 文件大小:2727
    • 提供者:leo
  1. octave

    0下载:
  2. 关于倍频程和三分之一倍频程滤波器设计程序-About one-third octave and octave filter design process
  3. 所属分类:Other systems

    • 发布日期:2017-03-27
    • 文件大小:15288
    • 提供者:王祥
  1. twice_clk

    0下载:
  2. 对输入时钟进行2倍频 已在modelsim中通过仿真 建议进行后仿 应用上来看 是可以使用的-the function of the module is frequency multiplication,and the module had been test and verified by modelsim,so the products can be employed with 100 ease by each consumer.think you!!!!
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:5159
    • 提供者:王伟臣
  1. 3fp

    0下载:
  2. 奇数分频和倍频(只需修改参数就可以实现较难得基数分频和倍频)-Odd frequency and frequency-doubling (just modify the parameters can be achieved relatively rare sub-base frequency and octave)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-16
    • 文件大小:169922
    • 提供者:wk
  1. beipin_test

    0下载:
  2. 实现任意倍数的倍频,帮助大家解决VHDL倍频问题,-The realization of arbitrary multiples of the octave, octave VHDL help people solve problems,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:145369
    • 提供者:万卓
  1. frequence_div

    0下载:
  2. 三分频程序,对输入的时钟信号进行分频,在此基础上可以进行倍频和分频的转化。-frequence divice
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1107
    • 提供者:jeff
  1. statemachine

    0下载:
  2. 基于状态图的光电编码器4倍频vhdl程序,输入相位差90度的两相,输出倍频和方向信号-Based on the state of the optical encoder Figure 4 multiplier vhdl procedure, enter a 90-degree phase difference of two-phase, frequency and direction of the output signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:865
    • 提供者:pudn
  1. encoder

    1下载:
  2. 编码器信号处理 经过倍频器进行四倍频 后 同时完成鉴相 计数-the encoder single program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-28
    • 文件大小:643753
    • 提供者:萝卜
  1. RH8000

    0下载:
  2. 基于状态监测的全数字预测倍频技术,适合做转速测量的预测算法。-Condition monitoring based on the prediction of all-digital frequency doubling technology, suitable for measuring the speed prediction algorithm.
  3. 所属分类:software engineering

    • 发布日期:2017-03-30
    • 文件大小:275005
    • 提供者:刘刚
  1. pll

    0下载:
  2. 是quartus2的仿真倍频电路,用于产生倍频时钟!-Is a multiplier circuit simulation quartus
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:333237
    • 提供者:张宏伟
  1. 一个MATLAB关于三分之一倍频程处理的程序

    1下载:
  2. 使用matlab计算噪声处理:1/3倍频程(Using MATLAB to calculate noise processing: 1/3 octave)
  3. 所属分类:matlab例程

  1. 平方倍频法

    2下载:
  2. 对DSSS/BPSK信号进行平方倍频法,可以对其载频进行估计(The frequency doubling method of DSSS/BPSK signal can be used to estimate its carrier frequency.)
  3. 所属分类:其他

    • 发布日期:2018-05-03
    • 文件大小:7168
    • 提供者:飞翔的蜘蛛
  1. 瞬时声压13倍频程

    2下载:
  2. 直接输入wav的声音文件,可得到1/3倍频程和瞬态声压级(1 / 3 octave and transient sound pressure level)
  3. 所属分类:matlab例程

    • 发布日期:2019-12-18
    • 文件大小:1024
    • 提供者:德德真聪明
  1. 三分之一倍频程处理

    0下载:
  2. 三分之一倍频程程序,信号处理必备,请自用下载(One-third octave program, self-download)
  3. 所属分类:其他

    • 发布日期:2020-03-13
    • 文件大小:1024
    • 提供者:YMRoma
« 12 3 4 5 6 7 8 9 10 ... 33 »
搜珍网 www.dssz.com