CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 源码下载 嵌入式/单片机编程 VHDL编程

资源列表

« 1 2 ... .02 .03 .04 .05 .06 4107.08 .09 .10 .11 .12 ... 4322 »
  1. digital-clock

    1下载:
  2. 数字钟是计时仪器,它的功能大家都很熟悉。本实验对设计的电子钟要求为: 1.能够对s(秒)、min(分)和h(小时)进行计时,每日按24h计时制; 2.min和h位能够调整; 3.设计要求使用自顶向下的设计方法。 数字钟的功能实际上是对s信号计数。实验板上可提供2Hz的时钟,二分频后可产生s时钟。数字钟结构上可分为两个部分c计数器和显示器。计数器又可分为s计数器、min计数器和h计数器。s计数器和min计数器由6进制和10进制计数器构成,小时计数器较复杂,需要设计一个24(或12)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:11771
    • 提供者:hanbaoshuai
  1. ehci-r10

    1下载:
  2. EHCI 标准协议 用于usb3.0软硬件开发-EHCI hardware and software development standard protocol for usb3.0
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:921968
    • 提供者:willow
  1. fir-and-iir

    1下载:
  2. FPGA关于数字滤波器设计,FIR的FPGA实现及其Quartus与MATLAB仿真-FPGA on the digital filter design, FIR s Quartus FPGA Implementation and Simulation with MATLAB
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-19
    • 文件大小:5149608
    • 提供者:方明
  1. ahb_master1

    1下载:
  2. AHB master 关于ahb总线协议中的master的encode -AHB master
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:1171
    • 提供者:ray
  1. risc8

    1下载:
  2. 基于verilog的8位risc-cpu源码,modelsim仿真-Verilog-based 8-bit risc-cpu source, modelsim simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:617999
    • 提供者:文婷
  1. 74LS148

    1下载:
  2. 用vhdl语言编译一个优先编码器74LS148-vhdl 74LS148 code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:310112
    • 提供者:宋子皓
  1. codelock7(0)

    1下载:
  2. Altera的DE2板子的一个简易密码锁,引脚信号已经引入,在实验板上调试通过-Altera' s DE2 board a simple password lock pin has been introduced by the experimental board debugging
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-10
    • 文件大小:2287035
    • 提供者:zxy
  1. uart_test

    1下载:
  2. Verilog 基于FPGA的直接RS232串口测试-Verilog FPGA-based test of direct RS232 serial port
  3. 所属分类:VHDL编程

    • 发布日期:2013-12-31
    • 文件大小:588943
    • 提供者:yuanjun
  1. frame detect

    1下载:
  2. 帧同步搜索电路,检查帧同步所在,VHDL程序-frame detect
  3. 所属分类:VHDL编程

    • 发布日期:2013-03-22
    • 文件大小:31600
    • 提供者:
  1. vhdl

    1下载:
  2. 键盘去抖,电子密码锁,键盘输入去抖vhdl语言程序-Keyboard debounce
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-24
    • 文件大小:4275
    • 提供者:范萍伟
  1. controller-design-of-sdram-

    1下载:
  2. 基于FPGA对sdram控制器的设计(VERILOG语言)-FPGA-based controller design of sdram (VERILOG language)
  3. 所属分类:VHDL编程

    • 发布日期:2014-03-23
    • 文件大小:2823207
    • 提供者:黄飞
  1. DCM

    1下载:
  2. 详细介绍了基于XILINX公司FPGA时钟管理模块DCM的IP核生成和使用-xilinx ise DCM
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:
    • 文件大小:621563
    • 提供者:mawei
« 1 2 ... .02 .03 .04 .05 .06 4107.08 .09 .10 .11 .12 ... 4322 »
搜珍网 www.dssz.com